Index of /alpine/edge/testing/s390x

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r2.apk2025-04-04 05:40 370K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 05:40 25K 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 05:40 1.6K 
[   ]9base-6-r2.apk2024-10-25 20:29 2.1M 
[   ]9base-doc-6-r2.apk2024-10-25 20:29 64K 
[   ]9base-troff-6-r2.apk2024-10-25 20:29 498K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:11 312K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:11 750K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:11 1.7M 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:11 190K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:11 1.5K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 15:50 61K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 15:50 106K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 15:50 2.0K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 15:50 39K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 15:50 2.9K 
[   ]APKINDEX.tar.gz2025-07-13 16:30 881K 
[   ]a2jmidid-9-r3.apk2024-10-25 20:29 30K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 20:29 4.2K 
[   ]abc-0_git20240102-r0.apk2024-10-25 20:29 5.2M 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:26 18K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:26 5.1K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 20:29 172K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 20:29 49K 
[   ]acmetool-0.2.2-r14.apk2025-07-10 19:44 4.6M 
[   ]acmetool-doc-0.2.2-r14.apk2025-07-10 19:44 47K 
[   ]adguardhome-0.107.62-r1.apk2025-07-10 19:44 11M 
[   ]adguardhome-openrc-0.107.62-r1.apk2025-07-10 19:44 2.2K 
[   ]adjtimex-1.29-r0.apk2024-10-25 20:29 20K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 20:29 7.1K 
[   ]admesh-0.98.5-r0.apk2024-10-25 20:29 27K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 20:29 4.0K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 20:29 23K 
[   ]advancemame-3.9-r4.apk2024-10-25 20:29 12M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 20:29 5.8M 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 20:29 374K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 20:29 845K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 20:29 3.8M 
[   ]advancescan-1.18-r1.apk2024-10-25 20:29 286K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 20:29 7.3K 
[   ]afetch-2.2.0-r1.apk2024-10-25 20:29 8.4K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 20:29 14K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:22 73K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:22 12K 
[   ]agate-3.3.8-r0.apk2024-10-25 20:29 836K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 20:29 2.0K 
[   ]agrep-0.8.0-r2.apk2024-10-25 20:29 8.4K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 20:29 4.1K 
[   ]aide-0.18.8-r0.apk2024-10-25 20:29 81K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 20:29 14K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 20:29 6.4K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 20:29 18K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 20:29 2.6K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 20:29 4.3K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 20:29 4.0K 
[   ]alps-0_git20230807-r13.apk2025-07-10 19:44 5.6M 
[   ]alps-openrc-0_git20230807-r13.apk2025-07-10 19:44 2.0K 
[   ]alttab-1.7.1-r0.apk2024-10-25 20:29 40K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 20:29 10K 
[   ]amber-0.4.0-r0.apk2025-06-19 00:16 548K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 16:47 225K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 16:47 6.7K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 20:29 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 20:29 9.6K 
[   ]amdgpu_top-0.10.5-r0.apk2025-06-12 13:21 7.2M 
[   ]amdgpu_top-doc-0.10.5-r0.apk2025-06-12 13:21 4.0K 
[   ]amiitool-2-r2.apk2024-10-25 20:29 7.0K 
[   ]ampy-1.1.0-r6.apk2025-03-19 13:11 15K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 13:11 4.1K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 13:11 19K 
[   ]amule-2.3.3-r13.apk2024-10-25 20:29 4.5M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 20:29 281K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 20:29 1.6M 
[   ]anarch-1.0-r1.apk2024-10-25 20:29 113K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 20:29 18K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 20:29 309K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 20:29 59K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 20:29 188K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 20:29 2.1M 
[   ]android-apktool-2.12.0-r0.apk2025-07-06 14:14 24M 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:03 202K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:03 116K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:03 1.6K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:03 134K 
[   ]angband-4.2.5-r0.apk2024-10-25 20:29 23M 
[   ]ansible-bender-0.10.1-r2.apk2025-06-09 08:49 36K 
[   ]ansible-bender-doc-0.10.1-r2.apk2025-06-09 08:49 9.9K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2025-06-09 08:49 64K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 20:29 4.7K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 20:29 3.0K 
[   ]antibody-6.1.1-r29.apk2025-07-10 19:44 1.8M 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 13:54 1.6M 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 13:54 24K 
[   ]anubis-1.20.0-r1.apk2025-07-10 19:44 7.7M 
[   ]anubis-doc-1.20.0-r1.apk2025-07-10 19:44 2.3K 
[   ]anubis-openrc-1.20.0-r1.apk2025-07-10 19:44 1.8K 
[   ]aoetools-37-r2.apk2025-01-14 13:34 22K 
[   ]aoetools-doc-37-r2.apk2025-01-14 13:34 14K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 20:29 64K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 20:29 8.1K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 20:29 10K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 11K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 07:03 677K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 07:03 70K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 07:03 38K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 07:03 304K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 20:29 4.6K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 12:51 14K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 12:51 7.0K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 20:29 6.6K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 20:29 20K 
[   ]aports-glmr-0.2-r29.apk2025-07-10 19:44 2.6M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:55 411K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:55 258K 
[   ]aprilsh-0.7.12-r6.apk2025-07-10 19:44 1.6K 
[   ]aprilsh-client-0.7.12-r6.apk2025-07-10 19:44 3.3M 
[   ]aprilsh-doc-0.7.12-r6.apk2025-07-10 19:44 14K 
[   ]aprilsh-openrc-0.7.12-r6.apk2025-07-10 19:44 1.8K 
[   ]aprilsh-server-0.7.12-r6.apk2025-07-10 19:44 2.5M 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 20:29 61K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 20:29 9.9K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 20:29 13K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 20:29 9.4K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 20:29 4.6K 
[   ]apt-swarm-0.5.1-r0.apk2025-05-26 10:55 3.0M 
[   ]apt-swarm-bash-completion-0.5.1-r0.apk2025-05-26 10:55 4.2K 
[   ]apt-swarm-fish-completion-0.5.1-r0.apk2025-05-26 10:55 5.7K 
[   ]apt-swarm-openrc-0.5.1-r0.apk2025-05-26 10:55 1.9K 
[   ]apt-swarm-zsh-completion-0.5.1-r0.apk2025-05-26 10:55 5.9K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:40 86K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:40 3.4K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:40 16K 
[   ]apulse-0.1.13-r2.apk2024-10-25 20:29 44K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 20:29 2.8K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 20:29 1.6M 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 20:29 7.5K 
[   ]arc-20221218-r0.apk2024-10-25 20:29 1.8K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 20:29 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 20:29 1.8K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 20:29 68K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 20:29 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 20:29 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 20:29 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 20:29 86K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 20:29 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 20:29 7.9K 
[   ]arc-darker-20221218-r0.apk2024-10-25 20:29 1.8K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 20:29 39K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 20:29 124K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 20:29 110K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 20:29 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 20:29 7.9K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 20:29 29K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 20:29 38K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 20:29 126K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 20:29 114K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 20:29 4.4M 
[   ]arc-lighter-20221218-r0.apk2024-10-25 20:29 1.8K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 20:29 38K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 20:29 125K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 20:29 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 20:29 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 20:29 7.7K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 20:29 17K 
[   ]arc-theme-20221218-r0.apk2024-10-25 20:29 1.4K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 20:29 7.7K 
[   ]argocd-3.0.11-r0.apk2025-07-11 15:26 39M 
[   ]argocd-bash-completion-3.0.11-r0.apk2025-07-11 15:26 21K 
[   ]argocd-doc-3.0.11-r0.apk2025-07-11 15:26 5.5K 
[   ]argocd-zsh-completion-3.0.11-r0.apk2025-07-11 15:26 4.0K 
[   ]arj-0_git20220125-r1.apk2024-10-25 20:29 159K 
[   ]arj-doc-0_git20220125-r1.apk2024-10-25 20:29 10K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 20:29 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 20:29 92K 
[   ]asdf-0.18.0-r1.apk2025-07-10 19:44 1.6M 
[   ]asdf-doc-0.18.0-r1.apk2025-07-10 19:44 2.2K 
[   ]aspell-es-1.11-r0.apk2024-10-25 20:29 541K 
[   ]atac-0.18.1-r0.apk2024-11-25 21:57 5.3M 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 20:29 398K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 20:29 79K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 20:29 69K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 20:29 125K 
[   ]atool-0.39.0-r4.apk2024-10-25 20:29 18K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 20:29 2.0K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 20:29 9.6K 
[   ]aufs-util-20161219-r3.apk2024-10-25 20:29 203K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 20:29 1.5K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 20:29 34K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 20:29 5.5K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 20:29 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 20:29 12K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 20:29 54K 
[   ]autorestic-1.8.3-r6.apk2025-07-10 19:44 3.8M 
[   ]autotrash-0.4.7-r0.apk2024-10-25 20:29 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 20:29 14K 
[   ]avahi2dns-0.1.0-r2.apk2025-07-10 19:44 2.5M 
[   ]avahi2dns-openrc-0.1.0-r2.apk2025-07-10 19:44 1.8K 
[   ]avarice-2.14-r4.apk2024-10-25 20:29 105K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 20:29 9.4K 
[   ]avra-1.4.2-r0.apk2024-10-25 20:29 42K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 20:29 255K 
[   ]azote-1.14.0-r0.apk2024-12-14 20:38 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 20:38 98K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 11:10 856K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 11:10 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 20:29 772K 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 09:48 72K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 09:48 136K 
[   ]b2sum-20190729-r2.apk2024-10-25 20:29 13K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 20:29 2.7K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 20:29 55K 
[   ]bacon-3.16.0-r0.apk2025-06-23 13:33 1.9M 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:37 1.3M 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:37 1.3K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:37 1.3K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:37 1.4K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 20:29 39K 
[   ]bananui-2.0.0-r0.apk2024-10-25 20:29 60K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 20:29 7.3K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 20:29 48K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 20:29 172K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 20:29 9.4K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 20:29 89K 
[   ]baresip-3.20.0-r1.apk2025-06-01 15:50 1.0M 
[   ]baresip-dev-3.20.0-r1.apk2025-06-01 15:50 16K 
[   ]barman-3.14.1-r0.apk2025-06-25 14:18 361K 
[   ]barman-bash-completion-3.14.1-r0.apk2025-06-25 14:18 1.6K 
[   ]barman-doc-3.14.1-r0.apk2025-06-25 14:18 85K 
[   ]barman-pyc-3.14.1-r0.apk2025-06-25 14:18 572K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 20:29 129K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 20:29 2.8K 
[   ]barrier-2.4.0-r2.apk2025-02-14 15:28 1.0M 
[   ]barrier-doc-2.4.0-r2.apk2025-02-14 15:28 13K 
[   ]base64c-0.2.1-r0.apk2024-10-25 20:29 4.5K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 20:29 5.5K 
[   ]bash-pinyin-completion-rs-0.2.3-r0.apk2025-04-30 17:49 718K 
[   ]bash-pinyin-completion-rs-doc-0.2.3-r0.apk2025-04-30 17:49 14K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 10:18 1.8K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 20:29 35K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 20:29 3.5K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 20:29 7.3K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 20:29 3.0K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 20:29 131K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-05 23:37 1.5M 
[   ]beard-0.4-r0.apk2024-10-25 20:29 3.1K 
[   ]beard-doc-0.4-r0.apk2024-10-25 20:29 2.5K 
[   ]bees-0.10-r2.apk2024-10-25 20:29 292K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 20:29 1.9K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 218K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:52 735K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:52 54K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 111K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 20:29 22K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 20:29 1.7K 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 20:29 18M 
[   ]bettercap-2.41.0-r3.apk2025-07-10 19:44 19M 
[   ]bettercap-doc-2.41.0-r3.apk2025-07-10 19:44 14K 
[   ]bgpq4-1.15-r0.apk2024-10-25 20:29 34K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 20:29 6.3K 
[   ]bgs-0.8-r1.apk2024-10-25 20:29 5.7K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 20:29 2.3K 
[   ]biboumi-9.0-r8.apk2025-05-28 21:37 275K 
[   ]biboumi-doc-9.0-r8.apk2025-05-28 21:37 1.5K 
[   ]biboumi-openrc-9.0-r8.apk2025-05-28 21:37 1.9K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 09:30 23K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 09:30 9.1K 
[   ]binwalk-3.1.0-r0.apk2025-02-07 15:46 1.0M 
[   ]biometryd-0.3.1-r7.apk2025-02-14 15:28 325K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-14 15:28 13K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 20:29 408K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 20:29 60K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 20:29 47K 
[   ]bkt-0.8.0-r0.apk2024-10-25 20:29 433K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 20:29 7.3K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 20:29 16K 
[   ]blip-0.10-r0.apk2024-10-25 20:29 15K 
[   ]blip-doc-0.10-r0.apk2024-10-25 20:29 31K 
[   ]bliss-0.77-r1.apk2024-10-25 20:29 83K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 20:29 118K 
[   ]bluez-tools-0_git20201025-r0.apk2025-06-09 08:49 265K 
[   ]bluez-tools-doc-0_git20201025-r0.apk2025-06-09 08:49 15K 
[   ]bmk-0.1-r0.apk2025-06-21 07:06 27K 
[   ]bmk-doc-0.1-r0.apk2025-06-21 07:06 6.6K 
[   ]bochs-2.8-r1.apk2025-02-20 18:32 1.0M 
[   ]bochs-doc-2.8-r1.apk2025-02-20 18:32 139K 
[   ]boinc-7.24.3-r0.apk2024-10-25 20:29 1.6M 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 20:29 592K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 20:29 8.0K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 20:29 1.3M 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 20:29 877K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 20:29 218K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 20:29 133K 
[   ]bomctl-0.1.9-r7.apk2025-07-10 19:44 9.3M 
[   ]bomctl-bash-completion-0.1.9-r7.apk2025-07-10 19:44 5.1K 
[   ]bomctl-fish-completion-0.1.9-r7.apk2025-07-10 19:44 4.3K 
[   ]bomctl-zsh-completion-0.1.9-r7.apk2025-07-10 19:44 4.1K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 20:29 673K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 10:13 137K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 20:29 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 20:29 8.2K 
[   ]bootloose-0.7.1-r12.apk2025-07-10 19:44 2.2M 
[   ]bootterm-0.5-r0.apk2024-10-25 20:29 19K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 20:29 2.3K 
[   ]boson-0_git20211219-r0.apk2024-10-25 20:29 18K 
[   ]botan2-2.19.5-r0.apk2025-05-28 21:37 436K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 21:37 311K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 21:37 306K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 21:37 3.0M 
[   ]boxes-2.3.1-r0.apk2024-10-25 20:29 80K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 20:29 7.1K 
[   ]brial-1.2.11-r4.apk2024-10-25 20:29 1.1M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 20:29 1.6M 
[   ]brltty-6.7-r1.apk2025-03-28 16:12 2.3M 
[   ]brltty-dev-6.7-r1.apk2025-03-28 16:12 140K 
[   ]brltty-doc-6.7-r1.apk2025-03-28 16:12 9.4K 
[   ]brltty-lang-6.7-r1.apk2025-03-28 16:12 149K 
[   ]brltty-static-6.7-r1.apk2025-03-28 16:12 25K 
[   ]btcd-0.24.0-r9.apk2025-07-10 19:44 15M 
[   ]btfs-2.24-r12.apk2024-10-25 20:29 32K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 20:29 2.4K 
[   ]btpd-0.16-r2.apk2024-10-25 20:29 81K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 20:29 8.4K 
[   ]buf-1.54.0-r1.apk2025-07-10 19:44 14M 
[   ]buf-bash-completion-1.54.0-r1.apk2025-07-10 19:44 8.6K 
[   ]buf-fish-completion-1.54.0-r1.apk2025-07-10 19:44 4.3K 
[   ]buf-protoc-plugins-1.54.0-r1.apk2025-07-10 19:44 14M 
[   ]buf-zsh-completion-1.54.0-r1.apk2025-07-10 19:44 4.0K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 20:29 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 20:29 29K 
[   ]burp-3.1.4-r0.apk2024-10-25 20:29 174K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 20:29 99K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 20:29 36K 
[   ]butane-0.24.0-r1.apk2025-07-10 19:44 3.1M 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 20:29 16K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 20:29 2.5K 
[   ]cadence-0.9.2-r0.apk2024-10-25 20:29 1.9M 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 20:29 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 20:29 3.2K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 20:29 34K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2025-03-10 18:17 26K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-15 01:16 45K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-15 01:16 37K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 20:29 4.6K 
[   ]cargo-geiger-0.12.0-r0.apk2025-05-26 11:06 5.6M 
[   ]cargo-geiger-doc-0.12.0-r0.apk2025-05-26 11:06 7.7K 
[   ]cargo-generate-0.23.3-r0.apk2025-05-13 21:48 2.6M 
[   ]cargo-machete-0.8.0-r0.apk2025-05-25 23:24 1.3M 
[   ]cargo-machete-doc-0.8.0-r0.apk2025-05-25 23:24 4.0K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 20:29 526K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 20:29 5.1K 
[   ]cargo-show-asm-0.2.49-r0.apk2025-04-15 01:16 1.0M 
[   ]cargo-show-asm-doc-0.2.49-r0.apk2025-04-15 01:16 10K 
[   ]cargo-shuttle-0.56.1-r0.apk2025-07-08 08:16 5.0M 
[   ]cargo-shuttle-bash-completion-0.56.1-r0.apk2025-07-08 08:16 5.1K 
[   ]cargo-shuttle-doc-0.56.1-r0.apk2025-07-08 08:16 9.0K 
[   ]cargo-shuttle-fish-completion-0.56.1-r0.apk2025-07-08 08:16 9.0K 
[   ]cargo-shuttle-zsh-completion-0.56.1-r0.apk2025-07-08 08:16 7.9K 
[   ]cargo-udeps-0.1.56-r2.apk2025-06-08 16:40 4.9M 
[   ]cargo-udeps-doc-0.1.56-r2.apk2025-06-08 16:40 7.5K 
[   ]cargo-update-16.2.1-r0.apk2025-03-23 13:24 1.3M 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-23 13:24 8.3K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 20:29 533K 
[   ]castero-0.9.5-r4.apk2025-05-14 18:13 50K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 18:13 94K 
[   ]cataclysm-dda-0h-r0.apk2025-03-20 00:48 19M 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-20 00:48 12M 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-20 00:48 4.6K 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-20 00:48 38M 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-20 00:48 49M 
[   ]catcodec-1.0.5-r2.apk2024-10-25 20:29 13K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 20:29 4.9K 
[   ]catdoc-0.95-r1.apk2024-10-25 20:29 113K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 20:29 9.2K 
[   ]catfish-4.20.1-r0.apk2025-07-07 19:19 128K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 19:19 13K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 19:19 167K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 19:19 104K 
[   ]cava-0.10.4-r1.apk2025-02-17 22:19 46K 
[   ]cc65-2.19-r0.apk2024-10-25 20:29 8.9M 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 20:29 89K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 20:29 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 20:29 31K 
[   ]ccze-0.2.1-r1.apk2024-10-25 20:29 50K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 20:29 3.3K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 20:29 8.8K 
[   ]cdba-1.0-r2.apk2024-10-25 20:29 8.1K 
[   ]cdba-server-1.0-r2.apk2024-10-25 20:29 23K 
[   ]cddlib-0.94m-r2.apk2024-10-25 20:29 220K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 20:29 14K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 20:29 864K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 20:29 290K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 20:29 40K 
[   ]cdist-7.0.0-r6.apk2024-10-25 20:29 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 20:29 128K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 20:29 33M 
[   ]cellbroadcastd-0.0.2-r0.apk2025-06-27 11:15 61K 
[   ]cellbroadcastd-dev-0.0.2-r0.apk2025-06-27 11:15 34K 
[   ]cellbroadcastd-libs-0.0.2-r0.apk2025-06-27 11:15 27K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:02 9.3K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:02 4.2K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 20:29 8.9K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 20:29 3.9K 
[   ]certigo-1.16.0-r24.apk2025-07-10 19:44 3.8M 
[   ]certstrap-1.3.0-r25.apk2025-07-10 19:44 2.3M 
[   ]cfssl-1.6.5-r6.apk2025-07-10 19:44 30M 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 20:29 132K 
[   ]cgo-0.6.1-r1.apk2024-10-25 20:29 10K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 20:29 4.1K 
[   ]chamo-4.0-r0.apk2024-10-25 20:29 7.9M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 20:29 1.5M 
[   ]chamo-dev-4.0-r0.apk2024-10-25 20:29 4.1M 
[   ]charls-2.4.2-r0.apk2024-10-25 20:29 69K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 20:29 27K 
[   ]chasquid-1.15.0-r5.apk2025-07-10 19:44 11M 
[   ]chasquid-doc-1.15.0-r5.apk2025-07-10 19:44 15K 
[   ]chasquid-openrc-1.15.0-r5.apk2025-07-10 19:44 1.9K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 20:29 379K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 20:29 4.2K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:41 2.8M 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:41 2.1K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:41 859K 
[   ]chimerautils-14.2.1-r0.apk2025-05-25 23:20 1.3M 
[   ]chimerautils-dbg-14.2.1-r0.apk2025-05-25 23:20 3.0M 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 20:29 1.9M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 20:29 231K 
[   ]cilium-cli-0.16.13-r6.apk2025-07-10 19:44 54M 
[   ]cilium-cli-bash-completion-0.16.13-r6.apk2025-07-10 19:44 5.1K 
[   ]cilium-cli-fish-completion-0.16.13-r6.apk2025-07-10 19:44 4.3K 
[   ]cilium-cli-zsh-completion-0.16.13-r6.apk2025-07-10 19:44 4.0K 
[   ]cimg-3.4.1-r0.apk2024-10-25 20:30 826K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 20:30 21K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 20:30 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 20:30 18K 
[   ]ckb-next-0.6.2-r0.apk2025-03-19 13:12 1.4M 
[   ]ckb-next-daemon-0.6.2-r0.apk2025-03-19 13:12 78K 
[   ]ckb-next-daemon-openrc-0.6.2-r0.apk2025-03-19 13:12 1.8K 
[   ]ckb-next-dev-0.6.2-r0.apk2025-03-19 13:12 4.9K 
[   ]clatd-1.6-r0.apk2024-10-25 20:30 13K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 13:21 6.6M 
[   ]clevis-21-r0.apk2025-01-20 04:17 54K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 04:17 2.0K 
[   ]clevis-dbg-21-r0.apk2025-01-20 04:17 60K 
[   ]clevis-doc-21-r0.apk2025-01-20 04:17 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 20:30 4.7K 
[   ]click-0.5.2-r4.apk2025-02-14 15:28 162K 
[   ]click-dev-0.5.2-r4.apk2025-02-14 15:28 9.1K 
[   ]click-doc-0.5.2-r4.apk2025-02-14 15:28 3.3K 
[   ]click-pyc-0.5.2-r4.apk2025-02-14 15:28 175K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 20:30 49K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 20:30 6.5K 
[   ]cliphist-0.6.1-r6.apk2025-07-10 19:44 951K 
[   ]cliphist-fzf-0.6.1-r6.apk2025-07-10 19:44 1.8K 
[   ]clipit-1.4.5-r3.apk2024-10-25 20:30 68K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 20:30 2.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 20:30 7.6K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 20:30 7.4K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 20:30 29K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 20:30 33K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 20:30 24K 
[   ]cln-1.3.7-r1.apk2025-05-25 07:50 480K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:50 1.1M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:50 77K 
[   ]cloudflared-2024.12.1-r7.apk2025-07-10 19:44 9.4M 
[   ]cloudflared-doc-2024.12.1-r7.apk2025-07-10 19:44 1.9K 
[   ]cloudflared-openrc-2024.12.1-r7.apk2025-07-10 19:44 1.8K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 20:30 260K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 20:30 1.0M 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 20:30 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 20:30 117K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 20:30 8.2M 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 20:30 2.9K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 20:30 16K 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 19:28 2.2M 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 19:28 3.0K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 19:28 38K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 19:28 3.3K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 19:28 3.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:46 422K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:46 8.0K 
[   ]codec2-1.2.0-r0.apk2025-05-25 22:12 678K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-25 22:12 15K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 20:30 4.0K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 20:30 2.7K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 20:30 4.2K 
[   ]comics-downloader-0.33.8-r11.apk2025-07-10 19:44 3.8M 
[   ]comics-downloader-gui-0.33.8-r11.apk2025-07-10 19:44 5.6M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-08 11:05 2.2M 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 20:30 303K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 20:30 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 20:30 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 20:30 44K 
[   ]compiz-0.9.14.2-r11.apk2025-06-12 13:21 6.3M 
[   ]compiz-dev-0.9.14.2-r11.apk2025-06-12 13:21 117K 
[   ]compiz-lang-0.9.14.2-r11.apk2025-06-12 13:21 1.2M 
[   ]compiz-pyc-0.9.14.2-r11.apk2025-06-12 13:21 112K 
[   ]compiz-utils-0.9.14.2-r11.apk2025-06-12 13:21 3.3K 
[   ]conntracct-0.2.7-r32.apk2025-07-10 19:44 5.1M 
[   ]conntracct-openrc-0.2.7-r32.apk2025-07-10 19:44 1.9K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 20:30 9.4K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 20:30 4.7K 
[   ]consul-replicate-0.4.0-r32.apk2025-07-10 19:44 2.9M 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:54 28K 
[   ]copyq-10.0.0-r0.apk2025-06-25 13:55 2.7M 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 13:55 2.3K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 13:55 3.5K 
[   ]corosync-3.1.9-r0.apk2025-05-29 03:16 281K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 03:16 453K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 03:16 190K 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 03:16 1.8K 
[   ]cortex-tenant-1.15.2-r7.apk2025-07-10 19:44 4.2M 
[   ]cortex-tenant-openrc-1.15.2-r7.apk2025-07-10 19:44 2.0K 
[   ]cosmic-icons-1.0.0_alpha7-r0.apk2025-04-25 05:14 231K 
[   ]cowsay-3.04-r2.apk2024-10-25 20:30 18K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 20:30 4.0K 
[   ]coxeter-3.0-r1.apk2024-10-25 20:30 48K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 20:30 57K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 20:30 337K 
[   ]cpdf-2.8.1-r0.apk2025-05-08 11:05 2.4M 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-08 11:05 558K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 20:30 7.0K 
[   ]cpp-httplib-0.23.0-r0.apk2025-07-13 16:02 80K 
[   ]cpp-httplib-doc-0.23.0-r0.apk2025-07-13 16:02 12K 
[   ]cpplint-2.0.2-r0.apk2025-04-15 01:16 80K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-15 01:16 99K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 20:30 35K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 20:30 51K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 20:30 2.9K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 20:30 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 20:30 8.7K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 20:30 92K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 20:30 2.0M 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 20:30 107K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 20:30 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 20:30 39K 
[   ]crowdsec-1.6.10-r0.apk2025-07-11 17:15 35M 
[   ]crowdsec-email-plugin-1.6.10-r0.apk2025-07-11 17:15 6.0M 
[   ]crowdsec-http-plugin-1.6.10-r0.apk2025-07-11 17:15 6.0M 
[   ]crowdsec-openrc-1.6.10-r0.apk2025-07-11 17:15 1.8K 
[   ]crowdsec-sentinel-plugin-1.6.10-r0.apk2025-07-11 17:15 6.0M 
[   ]crowdsec-slack-plugin-1.6.10-r0.apk2025-07-11 17:15 6.0M 
[   ]crowdsec-splunk-plugin-1.6.10-r0.apk2025-07-11 17:15 6.0M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:44 1.3M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:44 13K 
[   ]cscope-15.9-r1.apk2024-10-25 20:30 161K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 20:30 7.5K 
[   ]csfml-2.5.2-r0.apk2024-10-25 20:30 102K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 20:30 77K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 20:30 204K 
[   ]csmith-2.3.0-r2.apk2024-10-25 20:30 316K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 20:30 3.1K 
[   ]csol-1.6.0-r0.apk2024-10-25 20:30 39K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 20:30 3.8K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 20:30 89K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 21:11 22K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 20:30 24K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 20:30 6.1K 
[   ]curtail-1.13.0-r0.apk2025-07-05 20:40 30K 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 20:40 78K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 20:30 1.1M 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 20:30 361K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 20:30 6.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 20:30 3.6K 
[   ]cvise-2.11.0-r0.apk2025-03-08 13:15 5.9M 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-08 13:15 60K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 20:30 50K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 20:30 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 20:30 8.7K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 20:30 6.8K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 20:30 2.3K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 20:30 7.0K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 20:30 88K 
[   ]daemontools-0.76-r3.apk2024-10-25 20:30 69K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 20:30 2.0K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 20:30 1.9M 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 20:30 2.2K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 20:30 8.7K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 20:30 1.9K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 20:30 2.3K 
[   ]dasht-2.4.0-r0.apk2024-10-25 20:30 14K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 20:30 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 20:30 2.1K 
[   ]davmail-6.3.0-r0.apk2025-04-17 17:57 9.4M 
[   ]dbmate-2.26.0-r3.apk2025-07-10 19:44 11M 
[   ]dbmate-doc-2.26.0-r3.apk2025-07-10 19:44 2.3K 
[   ]dbus-broker-37-r0.apk2025-06-17 10:17 87K 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 10:17 5.9K 
[   ]dcmtk-3.6.9-r0.apk2025-01-19 17:09 1.3M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-19 17:09 1.6M 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-19 17:09 257K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-19 17:09 1.7K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 20:30 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 20:30 6.6K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 20:30 62K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 13:12 19K 
[   ]ddgr-2.2-r0.apk2024-10-25 20:30 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 20:30 2.2K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 20:30 12K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 20:30 2.3K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 20:30 2.7K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 20:30 12K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 20:30 5.9K 
[   ]debconf-1.5.82-r0.apk2024-10-25 20:30 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 20:30 1.9K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 20:30 27K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 20:30 132K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 20:30 6.7K 
[   ]decoder-0.7.0-r0.apk2025-04-10 13:31 2.2M 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 13:31 59K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 20:30 26K 
[   ]desed-1.2.1-r1.apk2024-10-25 20:30 451K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 20:30 2.9K 
[   ]desync-0.9.6-r6.apk2025-07-10 19:44 7.7M 
[   ]detox-2.0.0-r0.apk2024-10-25 20:30 117K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 20:30 21K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 20:04 131K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 20:04 26K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 20:04 1.7K 
[   ]devil-1.8.0-r0.apk2024-10-25 20:30 289K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 20:30 13K 
[   ]devpod-0.6.15-r5.apk2025-07-10 19:44 24M 
[   ]devpod-bash-completion-0.6.15-r5.apk2025-07-10 19:44 5.1K 
[   ]devpod-fish-completion-0.6.15-r5.apk2025-07-10 19:44 4.3K 
[   ]devpod-zsh-completion-0.6.15-r5.apk2025-07-10 19:44 4.0K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 20:30 1.2M 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 20:30 33K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 20:30 4.1K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 20:30 21K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 20:30 3.6K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 20:30 17K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 20:30 3.5K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 20:30 33K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 20:30 4.1K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 20:30 35K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 20:30 2.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 20:30 5.8K 
[   ]dhewm3-1.5.4-r0.apk2025-02-14 15:28 5.2M 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:50 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:50 18K 
[   ]disfetch-3.7-r0.apk2024-10-25 20:30 8.3K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:15 361K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 20:30 13K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 20:30 6.1K 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 20:30 47K 
[   ]dive-0.13.0-r3.apk2025-07-10 19:44 3.9M 
[   ]dlib-19.24.4-r0.apk2024-10-25 20:30 808K 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 20:30 2.4M 
[   ]dmarc-cat-0.15.0-r6.apk2025-07-10 19:44 2.8M 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 10:32 19K 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 10:32 4.1K 
[   ]dnote-0.15.1-r6.apk2025-07-10 19:44 4.5M 
[   ]dnote-bash-completion-0.15.1-r6.apk2025-07-10 19:44 2.1K 
[   ]dnote-doc-0.15.1-r6.apk2025-07-10 19:44 15K 
[   ]dnote-zsh-completion-0.15.1-r6.apk2025-07-10 19:44 2.0K 
[   ]dnscontrol-4.21.0-r1.apk2025-07-10 19:44 15M 
[   ]dnscontrol-doc-4.21.0-r1.apk2025-07-10 19:44 2.3K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 20:30 31K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 20:30 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 20:30 5.2K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 20:30 73K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 20:30 35K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 07:03 760K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 07:03 190K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 07:03 317K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 20:30 3.6K 
[   ]docker-auth-1.13.0-r5.apk2025-07-10 19:44 9.6M 
[   ]docker-auth-doc-1.13.0-r5.apk2025-07-10 19:44 10K 
[   ]docker-auth-openrc-1.13.0-r5.apk2025-07-10 19:44 2.1K 
[   ]docker-volume-local-persist-1.3.0-r34.apk2025-07-10 19:44 2.5M 
[   ]docker-volume-local-persist-openrc-1.3.0-r34.apk2025-07-10 19:44 1.8K 
[   ]dockerize-0.9.3-r2.apk2025-07-10 19:44 3.4M 
[   ]dolt-1.55.3-r1.apk2025-07-10 19:44 37M 
[   ]dooit-3.2.2-r0.apk2025-05-16 07:27 45K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:29 13K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:29 23K 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 07:27 100K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 18:13 2.0K 
[   ]draw-0.1.1-r14.apk2025-07-10 19:44 1.0M 
[   ]drogon-1.9.4-r2.apk2025-05-22 06:53 1.6M 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 06:53 121K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 06:53 2.3K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 21:25 20K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 21:25 30K 
[   ]drone-cli-1.8.0-r11.apk2025-07-10 19:44 5.9M 
[   ]dropwatch-1.5.5-r0.apk2025-06-25 13:38 16K 
[   ]dropwatch-doc-1.5.5-r0.apk2025-06-25 13:38 3.7K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 20:30 418K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:28 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:28 57K 
[   ]dsp-2.0-r0.apk2025-05-12 04:54 168K 
[   ]dsp-doc-2.0-r0.apk2025-05-12 04:54 10K 
[   ]dstask-0.26-r15.apk2025-07-10 19:44 1.4M 
[   ]dstask-bash-completion-0.26-r15.apk2025-07-10 19:44 2.1K 
[   ]dstask-import-0.26-r15.apk2025-07-10 19:44 3.4M 
[   ]dstask-zsh-completion-0.26-r15.apk2025-07-10 19:44 1.7K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 20:30 47K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 20:30 2.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 20:30 6.9K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 20:30 2.3K 
[   ]duc-1.4.5-r0.apk2024-10-25 20:30 94K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 20:30 9.1K 
[   ]duf-0.8.1-r27.apk2025-07-10 19:44 1.1M 
[   ]dufs-0.43.0-r0.apk2025-01-23 18:56 1.6M 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-23 18:56 2.3K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-23 18:56 10K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-23 18:56 2.3K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-23 18:56 2.7K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 20:30 23K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 20:30 952K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 20:30 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 20:30 16K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 20:30 7.6K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 20:30 1.4K 
[   ]dwl-0.7-r0.apk2024-10-25 20:30 31K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 20:30 3.1K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 808K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 20:30 4.3K 
[   ]eboard-1.1.3-r1.apk2024-10-25 20:30 1.5M 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 20:30 4.7K 
[   ]eclib-20250627-r0.apk2025-06-28 06:13 347K 
[   ]eclib-dev-20250627-r0.apk2025-06-28 06:13 96K 
[   ]eclib-doc-20250627-r0.apk2025-06-28 06:13 28K 
[   ]eclib-libs-20250627-r0.apk2025-06-28 06:13 1.3M 
[   ]eclib-static-20250627-r0.apk2025-06-28 06:13 20M 
[   ]eclipse-ecj-4.36-r0.apk2025-07-13 16:30 2.5M 
[   ]ecos-2.0.10-r0.apk2024-10-25 20:30 43K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 20:30 28K 
[   ]edit-1.2.0-r0.apk2025-06-12 13:21 259K 
[   ]edit-doc-1.2.0-r0.apk2025-06-12 13:21 2.2K 
[   ]edward-1.1.0-r0.apk2024-10-25 20:30 2.0M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 20:30 5.3K 
[   ]efl-1.28.1-r2.apk2025-03-23 15:03 34M 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 15:04 1.8M 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 15:04 1.7K 
[   ]eiwd-3.8-r0.apk2025-05-13 17:09 861K 
[   ]eiwd-doc-3.8-r0.apk2025-05-13 17:09 20K 
[   ]eiwd-openrc-3.8-r0.apk2025-05-13 17:09 1.9K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 22:05 72K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 22:05 57K 
[   ]elementary-camera-8.0.1-r0.apk2025-05-13 06:38 87K 
[   ]elementary-camera-lang-8.0.1-r0.apk2025-05-13 06:38 34K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 22:57 93K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 22:57 28K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-13 06:39 47K 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-13 06:39 46K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-13 06:37 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:06 76K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:06 47K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-06-14 18:10 85K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-06-14 18:10 74K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-06-14 18:10 1.8K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:08 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 21:27 1.5M 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 22:06 115K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 22:06 85K 
[   ]elfio-3.12-r0.apk2024-10-25 20:30 1.4K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 20:30 55K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 20:30 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 20:30 43K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:43 3.8K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 20:30 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 20:30 14K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 20:30 138K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 20:30 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:10 91K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 20:30 23K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 20:30 6.1K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 20:30 5.9K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 20:30 18K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 20:30 4.3K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:43 111K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:43 10K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:10 291K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 20:30 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 20:30 15K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 20:30 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 20:30 16K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 20:30 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 20:30 9.8K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 20:30 46K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 20:30 9.9K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-15 01:16 509K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-15 01:16 2.3K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 20:30 6.6K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 20:30 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 20:30 18K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 20:30 19K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:43 11K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:43 18K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 08:17 18K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 08:17 14K 
[   ]empede-0.2.3-r0.apk2024-10-25 20:30 1.9M 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 20:30 2.3K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 20:30 1.9K 
[   ]emulationstation-2.11.2-r1.apk2025-03-19 13:12 1.3M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2025-03-19 13:12 3.2M 
[   ]endeavour-43.0-r2.apk2024-12-08 21:41 199K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:41 46K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:41 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:41 203K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:50 9.3K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:50 2.7K 
[   ]enjoy-0.3-r1.apk2024-10-25 20:30 12K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 20:30 7.1K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 20:30 3.5K 
[   ]envconsul-0.13.3-r4.apk2025-07-10 19:44 4.9M 
[   ]envsubst-0.1-r1.apk2024-10-25 20:30 4.7K 
[   ]epoch-1.3.0-r2.apk2024-10-25 20:30 56K 
[   ]epr-2.4.15-r1.apk2024-10-25 20:30 16K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 20:30 24K 
[   ]ergo-ldap-0.0.1-r18.apk2025-07-10 19:44 2.2M 
[   ]ergo-ldap-doc-0.0.1-r18.apk2025-07-10 19:44 2.3K 
[   ]errands-46.2.8-r0.apk2025-05-22 14:21 84K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 14:21 71K 
[   ]espeakup-0.90-r2.apk2025-05-18 22:31 12K 
[   ]espeakup-openrc-0.90-r2.apk2025-05-18 22:31 1.8K 
[   ]esptool-4.8.1-r0.apk2024-10-25 20:30 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 20:30 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 20:30 567K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 20:30 45K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 14:41 11K 
[   ]exabgp-4.2.24-r0.apk2025-03-19 13:12 385K 
[   ]exabgp-doc-4.2.24-r0.apk2025-03-19 13:12 8.0K 
[   ]exabgp-openrc-4.2.24-r0.apk2025-03-19 13:12 2.2K 
[   ]exabgp-pyc-4.2.24-r0.apk2025-03-19 13:12 778K 
[   ]exercism-3.2.0-r14.apk2025-07-10 19:44 4.1M 
[   ]exercism-bash-completion-3.2.0-r14.apk2025-07-10 19:44 2.0K 
[   ]exercism-fish-completion-3.2.0-r14.apk2025-07-10 19:44 2.4K 
[   ]exercism-zsh-completion-3.2.0-r14.apk2025-07-10 19:44 2.1K 
[   ]extrace-0.9-r0.apk2024-10-25 20:30 10K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 20:30 3.5K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 20:30 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 20:30 6.7K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 20:30 40K 
[   ]f_scripts-0.6-r1.apk2024-10-25 20:30 1.4K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 20:30 3.3K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 20:30 2.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 20:30 1.9K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 20:30 2.1K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 20:30 3.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 20:30 6.0K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 20:30 2.5K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 20:30 2.6K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 20:30 2.4K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 20:30 2.9K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 20:30 2.8K 
[   ]fabric-3.2.2-r1.apk2024-10-25 20:30 55K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 20:30 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 20:30 29K 
[   ]fastd-23-r0.apk2025-01-27 21:51 76K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:51 3.3K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:51 1.7K 
[   ]fatback-1.3-r2.apk2024-10-25 20:30 29K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 20:30 16K 
[   ]fathom-1.3.1-r14.apk2025-07-10 19:44 4.9M 
[   ]fatrace-0.17.0-r0.apk2024-10-25 20:30 10K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 20:30 3.3K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 20:30 8.8K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 20:30 15K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 20:30 13K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 20:30 2.3K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 20:30 3.0K 
[   ]faust-2.79.3-r0.apk2025-06-07 17:02 8.6M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 17:02 1.4M 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 17:02 17M 
[   ]faust-static-2.79.3-r0.apk2025-06-07 17:02 547K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 17:02 120K 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 17:02 2.6K 
[   ]fava-1.28-r0.apk2024-10-25 20:30 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-10-25 20:30 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 20:30 4.6K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 20:30 2.2K 
[   ]fceux-2.6.6-r2.apk2024-10-25 20:30 3.0M 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 20:30 106K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 20:30 60K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 20:30 788K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 20:30 463K 
[   ]femto-2.21.7-r0.apk2025-06-19 06:37 65K 
[   ]femto-doc-2.21.7-r0.apk2025-06-19 06:37 27K 
[   ]fff-2.2-r0.apk2024-10-25 20:30 11K 
[   ]fff-doc-2.2-r0.apk2024-10-25 20:30 9.0K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 20:30 345K 
[   ]ffms2-5.0-r0.apk2024-10-25 20:30 74K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 20:30 7.6K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 20:30 30K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 20:30 1.8M 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 20:30 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 20:30 3.6K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 20:30 4.6K 
[   ]fheroes2-1.1.8-r0.apk2025-05-04 18:22 1.7M 
[   ]fheroes2-lang-1.1.8-r0.apk2025-05-04 18:22 1.7M 
[   ]fildesh-0.2.0-r0.apk2024-10-25 20:30 70K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 20:30 2.1K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 20:30 3.5K 
[   ]filebrowser-2.27.0-r12.apk2025-07-10 19:44 7.5M 
[   ]filebrowser-openrc-2.27.0-r12.apk2025-07-10 19:44 1.8K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 06:47 327K 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 06:47 1.6K 
[   ]findtow-0.1-r0.apk2024-10-25 20:30 4.7K 
[   ]finger-0.5-r0.apk2024-10-25 20:30 6.9K 
[   ]finger-doc-0.5-r0.apk2024-10-25 20:30 3.8K 
[   ]firehol-3.1.7-r2.apk2024-10-25 20:30 85K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 20:30 675K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 20:30 2.1K 
[   ]flann-1.9.2-r1.apk2025-02-14 15:28 1.8M 
[   ]flann-dev-1.9.2-r1.apk2025-02-14 15:28 1.1M 
[   ]flann-doc-1.9.2-r1.apk2025-02-14 15:28 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 20:30 4.4M 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 20:30 2.5K 
[   ]flare-game-1.14-r0.apk2024-10-25 20:30 2.2K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 20:30 21K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 15:30 43K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 15:30 8.5K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 15:30 79K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 20:30 4.2K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:06 1.3M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:06 2.1K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:06 6.0K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:06 1.9K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:06 2.2K 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 00:19 12M 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 00:19 5.5K 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 00:19 21M 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 00:19 58K 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 00:19 7.3K 
[   ]flint-3.3.1-r0.apk2025-06-17 04:29 3.9M 
[   ]flint-dev-3.3.1-r0.apk2025-06-17 04:29 311K 
[   ]flintqs-1.0-r1.apk2024-10-25 20:30 23K 
[   ]flowd-0.9.1-r11.apk2025-06-30 07:03 80K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 07:03 8.1K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 07:03 10K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 07:03 1.9K 
[   ]fnf-0.1-r0.apk2024-10-25 20:30 18K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 20:30 4.6K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 20:30 350K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 20:30 8.5K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 20:30 264K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-15 01:16 357K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 20:30 18M 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:41 1.3K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:41 526K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:41 507K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 20:30 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 20:30 626K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 20:30 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 20:30 1.0M 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 20:30 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 20:30 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 20:30 1.2K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 20:30 5.5K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 20:30 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 20:30 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 20:30 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 20:30 316K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 20:30 836K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 20:30 145K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 20:30 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 20:30 205K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 20:30 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 20:30 281K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 20:30 852K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 20:30 652K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 20:30 1.5K 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 20:30 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 20:30 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 20:30 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 20:30 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 20:30 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 20:30 677K 
[   ]font-openmoji-15.1.0-r0.apk2025-05-27 10:10 3.6M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 20:30 24K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 20:30 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 20:30 430K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 20:30 62K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-11 19:54 538K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 20:30 199K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 20:30 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 20:30 58K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 20:30 34K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 20:30 3.9K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 20:30 1.6K 
[   ]fplll-5.5.0-r0.apk2024-11-17 04:06 57K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 04:06 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 04:06 7.8M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 04:06 8.6M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 04:06 1.7M 
[   ]fpp-0.9.5-r0.apk2024-10-25 20:30 29K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 20:30 5.6K 
[   ]fq-0.13.0-r6.apk2025-07-10 19:44 4.4M 
[   ]freealut-1.1.0-r1.apk2024-10-25 20:30 18K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 20:30 23K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 20:30 9.0K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 20:30 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 20:30 387K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 20:30 168K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 20:30 89K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 20:30 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 20:30 2.5K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 20:30 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 20:30 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 20:30 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 20:30 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 20:30 1.5K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 20:30 2.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 20:30 1.5K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 20:30 1.5K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 20:30 1.5M 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 20:30 904K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 20:30 7.9K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 20:30 22K 
[   ]fungw-1.2.1-r0.apk2024-12-30 09:48 15K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 09:48 8.0K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 09:48 23K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 09:48 18K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 09:48 111K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 09:48 16K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 09:48 18K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 09:48 51K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 09:48 28K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 09:48 14K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 20:30 21K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 20:30 1.7K 
[   ]fuseiso-20070708-r0.apk2025-04-15 01:16 16K 
[   ]fuseiso-doc-20070708-r0.apk2025-04-15 01:16 2.6K 
[   ]fusesoc-2.3-r0.apk2024-10-25 20:30 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 20:30 89K 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 20:30 5.6M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 20:30 1.1M 
[   ]fzy-1.1-r0.apk2025-07-12 14:06 15K 
[   ]fzy-doc-1.1-r0.apk2025-07-12 14:06 3.7K 
[   ]gambit-4.9.5-r1.apk2025-04-07 07:46 12M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 07:46 6.9M 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 07:46 4.3K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 11:02 6.2K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:28 74K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:28 5.1K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:28 7.5K 
[   ]gamja-1.0.0_beta11-r0.apk2025-06-14 18:10 617K 
[   ]gamja-doc-1.0.0_beta11-r0.apk2025-06-14 18:10 2.3K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 20:31 90K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 20:31 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 20:31 78K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 20:31 17K 
[   ]gatling-0.16-r6.apk2024-10-25 20:31 156K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 20:31 9.1K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 20:31 2.8K 
[   ]gaupol-1.12-r2.apk2024-10-25 20:31 276K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 20:31 2.4K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 20:31 277K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 20:31 419K 
[   ]gb-0.4.4-r32.apk2025-07-10 19:44 7.1M 
[   ]gcli-2.6.1-r0.apk2025-01-26 17:29 124K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 17:29 28K 
[   ]gearman-dev-1.1.21-r2.apk2025-05-22 06:53 1.1M 
[   ]gearman-libs-1.1.21-r2.apk2025-05-22 06:53 79K 
[   ]gearmand-1.1.21-r2.apk2025-05-22 06:53 181K 
[   ]gearmand-doc-1.1.21-r2.apk2025-05-22 06:53 186K 
[   ]gearmand-openrc-1.1.21-r2.apk2025-05-22 06:53 1.8K 
[   ]gede-2.18.2-r1.apk2024-10-25 20:31 271K 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 12:56 28K 
[   ]geodns-3.3.0-r14.apk2025-07-10 19:44 4.9M 
[   ]geodns-logs-3.3.0-r14.apk2025-07-10 19:44 4.4M 
[   ]geodns-openrc-3.3.0-r14.apk2025-07-10 19:44 1.8K 
[   ]geomyidae-0.34-r2.apk2024-10-25 20:31 15K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 20:31 7.7K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 20:31 2.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 20:31 826K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 20:31 3.0K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 20:31 13K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 20:31 4.6M 
[   ]getmail6-6.19.08-r0.apk2025-05-15 09:51 71K 
[   ]getmail6-doc-6.19.08-r0.apk2025-05-15 09:51 138K 
[   ]getmail6-pyc-6.19.08-r0.apk2025-05-15 09:51 104K 
[   ]getssl-2.48-r0.apk2024-10-25 20:31 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:41 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:41 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:41 229K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 20:31 41K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 20:31 63K 
[   ]gfan-0.6.2-r1.apk2024-10-25 20:31 1.8M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 20:31 39K 
[   ]ghq-1.8.0-r2.apk2025-07-10 19:44 3.8M 
[   ]ghq-bash-completion-1.8.0-r2.apk2025-07-10 19:44 1.8K 
[   ]ghq-doc-1.8.0-r2.apk2025-07-10 19:44 5.5K 
[   ]ghq-fish-completion-1.8.0-r2.apk2025-07-10 19:44 2.5K 
[   ]ghq-zsh-completion-1.8.0-r2.apk2025-07-10 19:44 2.5K 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:50 1.2M 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:50 68K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:50 98K 
[   ]git-bug-0.8.1-r2.apk2025-07-10 19:44 10M 
[   ]git-bug-bash-completion-0.8.1-r2.apk2025-07-10 19:44 5.3K 
[   ]git-bug-doc-0.8.1-r2.apk2025-07-10 19:44 17K 
[   ]git-bug-fish-completion-0.8.1-r2.apk2025-07-10 19:44 4.3K 
[   ]git-bug-zsh-completion-0.8.1-r2.apk2025-07-10 19:44 4.1K 
[   ]git-extras-7.3.0-r0.apk2024-11-17 12:49 55K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-17 12:49 2.8K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-17 12:49 63K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 1.0M 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 20:31 12K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 20:31 2.9K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 20:31 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 20:31 5.0K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 20:31 42K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 20:31 15K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 20:31 17K 
[   ]git2json-0.2.3-r8.apk2024-10-25 20:31 7.4K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 20:31 5.7K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:36 448K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:36 17K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:36 19K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:36 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:36 53K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 20:31 68K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 20:31 194K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 20:31 46K 
[   ]gliderlabs-sigil-0.11.0-r6.apk2025-07-10 19:44 3.3M 
[   ]gliderlabs-sigil-doc-0.11.0-r6.apk2025-07-10 19:44 2.4K 
[   ]glmark2-2023.01-r1.apk2024-10-25 20:31 8.0M 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 20:31 13K 
[   ]gloox-1.0.28-r0.apk2024-10-25 20:31 371K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 20:31 888K 
[   ]glow-2.1.1-r1.apk2025-07-10 19:44 6.1M 
[   ]glow-bash-completion-2.1.1-r1.apk2025-07-10 19:44 6.1K 
[   ]glow-doc-2.1.1-r1.apk2025-07-10 19:44 3.2K 
[   ]glow-fish-completion-2.1.1-r1.apk2025-07-10 19:44 4.3K 
[   ]glow-zsh-completion-2.1.1-r1.apk2025-07-10 19:44 4.0K 
[   ]glslviewer-3.2.4-r1.apk2025-02-08 23:40 2.0M 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 19:13 36K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 19:13 1.9K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 19:13 61K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-14 15:28 39K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-14 15:28 4.1K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 20:31 12K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 20:31 567K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 20:31 25K 
[   ]gnome-user-share-48.1-r0.apk2025-06-29 22:30 355K 
[   ]gnome-user-share-lang-48.1-r0.apk2025-06-29 22:30 68K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 20:31 1.3M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 20:31 617K 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 20:31 1.6M 
[   ]go-away-0.7.0-r0.apk2025-06-10 17:47 8.1M 
[   ]go-away-openrc-0.7.0-r0.apk2025-06-10 17:47 2.3K 
[   ]go-jsonnet-0.21.0-r1.apk2025-07-10 19:44 6.7M 
[   ]go-mtpfs-1.0.0-r28.apk2025-07-10 19:44 1.2M 
[   ]go-passbolt-cli-0.3.2-r4.apk2025-07-10 19:44 6.2M 
[   ]godini-1.0.0-r1.apk2025-07-10 19:44 1.5M 
[   ]godini-doc-1.0.0-r1.apk2025-07-10 19:44 15K 
[   ]gomp-1.0.0-r13.apk2025-07-10 19:44 3.6M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 20:31 51K 
[   ]goreman-0.3.15-r14.apk2025-07-10 19:44 2.5M 
[   ]goshs-1.1.0-r1.apk2025-07-10 19:44 6.3M 
[   ]goshs-doc-1.1.0-r1.apk2025-07-10 19:44 2.3K 
[   ]gossip-0.14.0-r0.apk2025-03-19 13:12 29M 
[   ]gossip-doc-0.14.0-r0.apk2025-03-19 13:12 22K 
[   ]gost-3.1.0-r1.apk2025-07-10 19:44 12M 
[   ]gost-doc-3.1.0-r1.apk2025-07-10 19:44 2.2K 
[   ]gotify-2.5.0-r7.apk2025-07-10 19:44 9.9M 
[   ]gotify-cli-2.3.2-r6.apk2025-07-10 19:44 4.3M 
[   ]gotify-openrc-2.5.0-r7.apk2025-07-10 19:44 2.0K 
[   ]goxel-0.15.1-r0.apk2024-10-25 20:31 1.9M 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 20:31 13M 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 50K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 26K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]gr-satellites-5.5.0-r5.apk2025-06-08 16:40 527K 
[   ]gr-satellites-dev-5.5.0-r5.apk2025-06-08 16:40 13K 
[   ]gr-satellites-doc-5.5.0-r5.apk2025-06-08 16:40 4.5K 
[   ]granite7-7.5.0-r0.apk2024-10-25 20:31 126K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 20:31 43K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 20:31 52K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 20:31 20K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 20:31 2.2K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 20:31 3.3K 
[   ]grip-4.2.4-r0.apk2024-10-25 20:31 384K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 20:31 6.2K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 20:31 144K 
[   ]gron-0.7.1-r26.apk2025-07-10 19:44 2.5M 
[   ]gron-doc-0.7.1-r26.apk2025-07-10 19:44 6.3K 
[   ]grpcui-1.4.3-r5.apk2025-07-10 19:44 8.8M 
[   ]grpcurl-1.9.3-r3.apk2025-07-10 19:44 8.3M 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-19 13:12 30K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-19 13:12 3.5K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 20:31 17K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 20:31 5.8K 
[   ]gssdp-1.6.4-r0.apk2025-06-28 23:49 48K 
[   ]gssdp-dev-1.6.4-r0.apk2025-06-28 23:49 16K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-14 15:28 521K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-14 15:28 310K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:17 39K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:17 5.3K 
[   ]gtkhash-1.5-r0.apk2025-03-10 18:17 88K 
[   ]gtkhash-lang-1.5-r0.apk2025-03-10 18:17 46K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:17 20K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:17 3.0K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 20:31 2.6M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 20:31 27K 
[   ]guake-3.10-r1.apk2024-10-25 20:31 305K 
[   ]guake-lang-3.10-r1.apk2024-10-25 20:31 188K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 20:31 186K 
[   ]guestfs-tools-1.52.0-r3.apk2025-06-13 14:01 326K 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:50 217K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:50 2.7M 
[   ]gufw-24.04-r3.apk2024-11-19 22:01 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 22:01 4.5K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 22:01 855K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 22:01 65K 
[   ]gupnp-1.6.9-r0.apk2025-06-28 23:49 93K 
[   ]gupnp-av-0.14.4-r0.apk2025-06-28 23:49 84K 
[   ]gupnp-av-dev-0.14.4-r0.apk2025-06-28 23:49 42K 
[   ]gupnp-dev-1.6.9-r0.apk2025-06-28 23:49 50K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 12:56 70K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 12:56 24K 
[   ]gupnp-doc-1.6.9-r0.apk2025-06-28 23:49 3.8K 
[   ]gx-0.14.3-r31.apk2025-07-10 19:44 4.7M 
[   ]gx-doc-0.14.3-r31.apk2025-07-10 19:44 2.3K 
[   ]gx-go-1.9.0-r33.apk2025-07-10 19:44 5.0M 
[   ]gx-go-doc-1.9.0-r33.apk2025-07-10 19:44 2.3K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 19:43 22K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 20:31 109K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 20:31 8.8K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 20:31 2.7K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 20:31 106K 
[   ]halp-0.2.0-r0.apk2024-10-25 20:31 1.0M 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 20:31 2.2K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 20:31 6.9K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 20:31 2.0K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 20:31 2.4K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 20:31 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 20:31 2.0K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 20:31 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 20:31 206K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 20:31 358K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 20:31 108K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 20:31 32K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 20:31 10K 
[   ]hardinfo2-2.2.10-r0.apk2025-05-18 22:17 3.4M 
[   ]hardinfo2-doc-2.2.10-r0.apk2025-05-18 22:17 2.7K 
[   ]hardinfo2-lang-2.2.10-r0.apk2025-05-18 22:17 273K 
[   ]hardinfo2-openrc-2.2.10-r0.apk2025-05-18 22:17 1.9K 
[   ]harminv-1.4.2-r1.apk2024-10-25 20:31 7.9K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 20:31 3.1K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 20:31 5.7K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 20:31 15K 
[   ]hatch-1.14.1-r0.apk2025-04-10 13:31 102K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-10 13:31 216K 
[   ]hatop-0.8.2-r0.apk2024-10-25 20:31 18K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 20:31 3.0K 
[   ]haxe-4.3.3-r1.apk2025-03-22 14:34 11M 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 14:34 7.7K 
[   ]hctl-0.2.7-r0.apk2025-05-14 00:04 1.4M 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 20:31 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 20:31 2.2K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 20:31 34K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 20:31 264K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 20:31 101K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 20:31 6.0K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 20:31 199K 
[   ]heisenbridge-1.15.3-r0.apk2025-04-20 05:03 67K 
[   ]heisenbridge-pyc-1.15.3-r0.apk2025-04-20 05:03 155K 
[   ]helm-diff-3.11.0-r2.apk2025-07-10 19:44 21M 
[   ]helm-ls-0.0.12-r10.apk2025-07-10 19:44 11M 
[   ]helm-ls-doc-0.0.12-r10.apk2025-07-10 19:44 2.2K 
[   ]helm-mapkubeapis-0.5.2-r7.apk2025-07-10 19:44 20M 
[   ]helm-unittest-0.8.2-r1.apk2025-07-10 19:44 12M 
[   ]helmfile-1.1.2-r1.apk2025-07-10 19:44 46M 
[   ]helmfile-bash-completion-1.1.2-r1.apk2025-07-10 19:44 6.1K 
[   ]helmfile-doc-1.1.2-r1.apk2025-07-10 19:44 2.2K 
[   ]helmfile-fish-completion-1.1.2-r1.apk2025-07-10 19:44 4.3K 
[   ]helmfile-zsh-completion-1.1.2-r1.apk2025-07-10 19:44 4.0K 
[   ]herbe-1.0.0-r0.apk2024-10-25 20:31 5.8K 
[   ]hexdiff-0.0.53-r3.apk2025-05-25 07:50 16K 
[   ]hexdiff-doc-0.0.53-r3.apk2025-05-25 07:50 3.7K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 20:31 19K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 20:31 5.6K 
[   ]hfst-3.16.2-r0.apk2025-03-28 16:12 1.4M 
[   ]hfst-dev-3.16.2-r0.apk2025-03-28 16:12 209K 
[   ]hfst-doc-3.16.2-r0.apk2025-03-28 16:12 70K 
[   ]hfst-libs-3.16.2-r0.apk2025-03-28 16:12 2.0M 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:08 189K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:08 21K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:08 17K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:08 1.7K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 20:31 80K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 20:31 131K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 19:52 380K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 19:52 413K 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:47 75K 
[   ]hikari-2.3.3-r6.apk2024-10-25 20:31 949K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 20:31 14K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 20:31 4.1K 
[   ]hilbish-2.3.4-r6.apk2025-07-10 19:44 3.4M 
[   ]hilbish-doc-2.3.4-r6.apk2025-07-10 19:44 25K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 20:31 8.3K 
[   ]hitide-0.15.0-r0.apk2024-10-25 20:31 2.3M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 20:31 2.1K 
[   ]homebank-5.9.1-r0.apk2025-05-08 11:07 2.1M 
[   ]homebank-lang-5.9.1-r0.apk2025-05-08 11:07 942K 
[   ]hopalong-0.1-r3.apk2024-10-25 20:31 24K 
[   ]hping3-20051105-r4.apk2024-10-25 20:31 76K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 20:31 17K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 20:31 11K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 20:31 64K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 20:31 21K 
[   ]htslib-1.19-r0.apk2024-10-25 20:31 404K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 20:31 115K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 20:31 23K 
[   ]htslib-static-1.19-r0.apk2024-10-25 20:31 501K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 20:31 1.3M 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 20:31 3.4K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 20:31 2.3K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:38 1.4M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:38 2.3K 
[   ]httpx-1.7.0-r3.apk2025-07-10 19:45 13M 
[   ]httpx-doc-1.7.0-r3.apk2025-07-10 19:45 2.3K 
[   ]httrack-3.49.2-r5.apk2024-10-25 20:31 753K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 20:31 528K 
[   ]hub-2.14.2-r32.apk2025-07-10 19:45 2.9M 
[   ]hub-bash-completion-2.14.2-r32.apk2025-07-10 19:45 4.6K 
[   ]hub-doc-2.14.2-r32.apk2025-07-10 19:45 42K 
[   ]hub-fish-completion-2.14.2-r32.apk2025-07-10 19:45 3.3K 
[   ]hub-zsh-completion-2.14.2-r32.apk2025-07-10 19:45 3.7K 
[   ]hubble-cli-0.13.6-r6.apk2025-07-10 19:45 18M 
[   ]hubble-cli-bash-completion-0.13.6-r6.apk2025-07-10 19:45 5.1K 
[   ]hubble-cli-fish-completion-0.13.6-r6.apk2025-07-10 19:45 4.3K 
[   ]hubble-cli-zsh-completion-0.13.6-r6.apk2025-07-10 19:45 4.1K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 20:31 731K 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 14:32 1.2M 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 14:32 2.8K 
[   ]hurl-6.1.1-r0.apk2025-05-03 17:29 2.0M 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-03 17:29 2.3K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-03 17:29 8.8K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-03 17:29 3.5K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-03 17:29 4.0K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-03 17:29 964K 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 07:03 124K 
[   ]hx-1.0.15-r0.apk2024-10-25 20:31 15K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 20:31 4.8K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 20:31 433K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 20:31 3.3K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 20:31 17K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 20:31 180K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 20:31 2.5K 
[   ]hypnotix-3.5-r0.apk2024-10-25 20:31 110K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 20:31 72K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 20:31 18K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 20:31 2.2K 
[   ]i2util-4.2.1-r1.apk2024-10-25 20:31 17K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 20:31 45K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 20:31 4.7K 
[   ]i3bar-river-1.1.0-r0.apk2025-03-19 13:12 622K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 03:19 5.6M 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 03:19 34K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 20:31 9.2K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 20:31 1.9K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 20:31 17M 
[   ]icingaweb2-module-businessprocess-2.5.1-r0.apk2025-07-02 06:39 109K 
[   ]icingaweb2-module-businessprocess-doc-2.5.1-r0.apk2025-07-02 06:39 2.0M 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2025-07-02 06:39 11K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2025-07-02 06:39 231K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2025-07-02 06:39 6.3K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2025-07-02 06:39 1.8K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 20:31 8.8K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 20:31 1.5K 
[   ]identities-0.1.4-r0.apk2025-05-05 21:16 13K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:33 48K 
[   ]idesk-1-r1.apk2024-10-25 20:31 71K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:45 15K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:45 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 22:45 83K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 22:45 2.5K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:45 10K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:45 2.3K 
[   ]igrep-1.2.0-r0.apk2024-10-25 20:31 1.8M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 20:31 4.2K 
[   ]ijq-1.1.0-r9.apk2025-07-10 19:45 1.4M 
[   ]ijq-doc-1.1.0-r9.apk2025-07-10 19:45 3.5K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 20:31 41K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 20:31 13K 
[   ]imediff-2.6-r1.apk2024-10-25 20:31 42K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 20:31 6.5K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 20:31 44K 
[   ]imgdiff-1.0.2-r27.apk2025-07-10 19:45 1.0M 
[   ]imgdiff-doc-1.0.2-r27.apk2025-07-10 19:45 2.3K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 20:31 9.0K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 20:31 19K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 08:58 16K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 08:58 4.1K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 08:58 1.7K 
[   ]initify-0_git20171210-r1.apk2024-10-25 20:31 3.3K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 20:31 114K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 20:31 1.7K 
[   ]invidtui-0.4.6-r6.apk2025-07-10 19:45 4.1M 
[   ]ip2location-8.6.1-r0.apk2024-10-25 20:31 26K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 20:31 13K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 20:31 2.7K 
[   ]ipp-usb-0.9.30-r1.apk2025-07-10 19:45 2.5M 
[   ]ipp-usb-doc-0.9.30-r1.apk2025-07-10 19:45 8.8K 
[   ]ipp-usb-openrc-0.9.30-r1.apk2025-07-10 19:45 1.7K 
[   ]iprange-1.0.4-r1.apk2024-10-25 20:31 21K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 20:31 4.5K 
[   ]irccd-4.0.3-r0.apk2024-10-25 20:31 277K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 20:31 9.6K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 20:31 80K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 20:31 1.8K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 03:49 364K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 03:49 3.6K 
[   ]ircdog-0.5.4-r6.apk2025-07-10 19:45 2.4M 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 20:31 6.4K 
[   ]isomd5sum-1.2.3-r3.apk2024-10-25 20:31 21K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-10-25 20:31 3.0K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 20:31 30K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 20:31 31K 
[   ]jackal-0.64.0-r16.apk2025-07-10 19:45 12M 
[   ]jackal-openrc-0.64.0-r16.apk2025-07-10 19:45 1.8K 
[   ]jackline-0.1.0-r3.apk2024-10-25 20:31 4.7M 
[   ]jalv-1.6.8-r1.apk2024-10-25 20:31 52K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 20:31 3.2K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 20:31 35K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 20:31 4.0M 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 20:31 12K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 20:31 219K 
[   ]jbigkit-2.1-r2.apk2024-10-25 20:31 67K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 20:31 31K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 20:31 7.3K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 20:31 94K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 20:31 12K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 20:31 29K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 20:31 9.0K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-28 22:57 32K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-28 22:57 50K 
[   ]jfrog-cli-2.45.0-r13.apk2025-07-10 19:45 9.4M 
[   ]jhead-3.08-r0.apk2024-10-25 20:31 34K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 20:31 7.9K 
[   ]jotdown-0.7.0-r0.apk2025-03-19 13:12 270K 
[   ]jreleaser-1.19.0-r0.apk2025-06-30 19:53 40M 
[   ]jreleaser-doc-1.19.0-r0.apk2025-06-30 19:53 5.9K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 20:31 684K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 20:31 4.7K 
[   ]json2tsv-1.2-r0.apk2024-10-25 20:31 6.7K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 20:31 5.2K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 20:31 1.9K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 20:31 2.3K 
[   ]jsonnet-bundler-0.6.0-r6.apk2025-07-10 19:45 3.3M 
[   ]jsonnet-language-server-0.15.0-r5.apk2025-07-10 19:45 4.4M 
[   ]junit2html-31.0.2-r0.apk2024-10-25 20:31 17K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 20:31 24K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 1.0M 
[   ]k2-0_git20220807-r1.apk2024-10-25 20:31 104K 
[   ]k3sup-0.13.6-r6.apk2025-07-10 19:45 2.7M 
[   ]k3sup-bash-completion-0.13.6-r6.apk2025-07-10 19:45 5.0K 
[   ]k3sup-fish-completion-0.13.6-r6.apk2025-07-10 19:45 4.3K 
[   ]k3sup-zsh-completion-0.13.6-r6.apk2025-07-10 19:45 4.0K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 20:31 59K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 20:31 3.5K 
[   ]kannel-1.5.0-r11.apk2024-10-25 20:31 6.4M 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 20:31 938K 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 20:31 6.2K 
[   ]kapow-0.7.1-r14.apk2025-07-10 19:45 3.6M 
[   ]katana-1.1.3-r2.apk2025-07-10 19:45 14M 
[   ]katana-doc-1.1.3-r2.apk2025-07-10 19:45 2.3K 
[   ]katarakt-0.2-r1.apk2025-01-29 18:50 91K 
[   ]kcbench-0.9.12-r0.apk2025-04-25 05:14 37K 
[   ]kcbench-doc-0.9.12-r0.apk2025-04-25 05:14 20K 
[   ]kdiskmark-3.2.0-r0.apk2025-06-30 16:46 175K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-06-30 16:46 32K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 20:31 876K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 11:59 9.3K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 11:59 15K 
[   ]kew-3.3.3-r0.apk2025-05-29 22:20 417K 
[   ]kew-doc-3.3.3-r0.apk2025-05-29 22:20 3.5K 
[   ]keybase-client-6.2.8-r11.apk2025-07-10 19:45 18M 
[   ]keydb-6.3.4-r0.apk2024-10-25 20:31 1.1M 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-25 20:31 464K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-25 20:31 376K 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-25 20:31 2.6K 
[   ]keystone-0.9.2-r6.apk2024-10-25 20:31 1.5M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 20:31 7.3K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 20:31 1.8M 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 20:31 9.7K 
[   ]kfc-0.1.4-r0.apk2024-10-25 20:31 58K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 20:31 1.3M 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 20:31 6.3K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 20:31 230K 
[   ]khinsider-2.0.7-r21.apk2025-07-10 19:45 3.5M 
[   ]khronos-4.0.1-r0.apk2024-10-25 20:31 56K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 20:31 26K 
[   ]kine-0.10.1-r14.apk2025-07-10 19:45 8.0M 
[   ]kine-doc-0.10.1-r14.apk2025-07-10 19:45 5.1K 
[   ]kirc-0.3.3-r0.apk2025-02-22 11:09 15K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 11:09 2.8K 
[   ]kismet-0.202307.1-r8.apk2025-06-12 13:21 13M 
[   ]kismet-linux-bluetooth-0.202307.1-r8.apk2025-06-12 13:21 47K 
[   ]kismet-linux-wifi-0.202307.1-r8.apk2025-06-12 13:21 66K 
[   ]kismet-logtools-0.202307.1-r8.apk2025-06-12 13:21 1.2M 
[   ]kismet-nrf-51822-0.202307.1-r8.apk2025-06-12 13:21 43K 
[   ]kismet-nxp-kw41z-0.202307.1-r8.apk2025-06-12 13:21 45K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 20:31 1.5M 
[   ]klong-20221212-r0.apk2025-06-07 15:17 376K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 20:31 795K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 20:31 7.7K 
[   ]knative-client-1.18.0-r1.apk2025-07-10 19:45 24M 
[   ]knative-client-bash-completion-1.18.0-r1.apk2025-07-10 19:45 10K 
[   ]knative-client-fish-completion-1.18.0-r1.apk2025-07-10 19:45 4.3K 
[   ]knative-client-zsh-completion-1.18.0-r1.apk2025-07-10 19:45 4.0K 
[   ]knxd-0.14.61-r1.apk2024-12-14 19:04 425K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 19:04 24K 
[   ]ko-0.17.1-r6.apk2025-07-10 19:45 10M 
[   ]ko-bash-completion-0.17.1-r6.apk2025-07-10 19:45 5.0K 
[   ]ko-fish-completion-0.17.1-r6.apk2025-07-10 19:45 4.3K 
[   ]ko-zsh-completion-0.17.1-r6.apk2025-07-10 19:45 4.0K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 08:16 56K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 08:16 23K 
[   ]komikku-1.81.0-r0.apk2025-07-10 20:33 439K 
[   ]komikku-lang-1.81.0-r0.apk2025-07-10 20:33 275K 
[   ]komikku-pyc-1.81.0-r0.apk2025-07-10 20:33 784K 
[   ]kompose-1.31.2-r11.apk2025-07-10 19:45 7.3M 
[   ]kompose-bash-completion-1.31.2-r11.apk2025-07-10 19:45 5.6K 
[   ]kompose-fish-completion-1.31.2-r11.apk2025-07-10 19:45 4.4K 
[   ]kompose-zsh-completion-1.31.2-r11.apk2025-07-10 19:45 6.8K 
[   ]kondo-0.8-r0.apk2024-10-25 20:31 764K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 20:31 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 20:31 2.1K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 20:31 2.5K 
[   ]kopia-0.19.0-r5.apk2025-07-10 19:45 17M 
[   ]kopia-bash-completion-0.19.0-r5.apk2025-07-10 19:45 1.8K 
[   ]kopia-zsh-completion-0.19.0-r5.apk2025-07-10 19:45 1.8K 
[   ]kotlin-language-server-1.3.13-r0.apk2025-03-28 16:12 83M 
[   ]krdp-6.4.2-r0.apk2025-07-05 18:59 215K 
[   ]krdp-lang-6.4.2-r0.apk2025-07-05 18:59 55K 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:08 80K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:08 2.7M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:08 106K 
[   ]kube-no-trouble-0.7.3-r6.apk2025-07-10 19:45 14M 
[   ]kubeconform-0.6.6-r8.apk2025-07-10 19:45 3.4M 
[   ]kubectl-krew-0.4.5-r3.apk2025-07-10 19:45 4.6M 
[   ]kubectl-oidc_login-1.32.4-r1.apk2025-07-10 19:45 5.6M 
[   ]kubeone-1.10.0-r1.apk2025-07-10 19:45 27M 
[   ]kubeone-bash-completion-1.10.0-r1.apk2025-07-10 19:45 6.7K 
[   ]kubeone-doc-1.10.0-r1.apk2025-07-10 19:45 20K 
[   ]kubeone-zsh-completion-1.10.0-r1.apk2025-07-10 19:45 4.0K 
[   ]kubepug-1.7.1-r11.apk2025-07-10 19:45 16M 
[   ]kubepug-bash-completion-1.7.1-r11.apk2025-07-10 19:45 5.1K 
[   ]kubepug-fish-completion-1.7.1-r11.apk2025-07-10 19:45 4.3K 
[   ]kubepug-zsh-completion-1.7.1-r11.apk2025-07-10 19:45 4.0K 
[   ]kubeseal-0.30.0-r1.apk2025-07-10 19:45 12M 
[   ]kubeseal-doc-0.30.0-r1.apk2025-07-10 19:45 5.5K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 20:31 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 20:31 13K 
[   ]lavacli-2.4-r0.apk2025-06-14 18:10 49K 
[   ]lavacli-doc-2.4-r0.apk2025-06-14 18:10 34K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-14 18:10 88K 
[   ]laze-0.1.31-r0.apk2025-02-18 22:14 1.3M 
[   ]laze-bash-completion-0.1.31-r0.apk2025-02-18 22:14 3.1K 
[   ]laze-doc-0.1.31-r0.apk2025-02-18 22:14 3.5K 
[   ]laze-fish-completion-0.1.31-r0.apk2025-02-18 22:14 3.4K 
[   ]laze-zsh-completion-0.1.31-r0.apk2025-02-18 22:14 3.6K 
[   ]lbb-0.9.1-r4.apk2025-07-10 19:45 3.2M 
[   ]lbb-doc-0.9.1-r4.apk2025-07-10 19:45 19K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 08:30 201K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 08:30 51K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 08:30 437K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 08:30 243K 
[   ]ldapdomaindump-0.9.4-r2.apk2025-05-29 11:59 18K 
[   ]ldapdomaindump-pyc-0.9.4-r2.apk2025-05-29 11:59 30K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 20:31 83K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 20:31 14K 
[   ]lefthook-1.11.14-r1.apk2025-07-10 19:45 5.3M 
[   ]lefthook-doc-1.11.14-r1.apk2025-07-10 19:45 2.2K 
[   ]legume-1.4.2-r10.apk2025-07-10 19:45 1.5M 
[   ]legume-doc-1.4.2-r10.apk2025-07-10 19:45 12K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 15:22 1.2M 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 15:22 6.0K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 20:31 48K 
[   ]lfm-3.1-r4.apk2024-10-25 20:31 88K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 20:31 2.8K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 20:31 134K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:17 370K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:17 8.5K 
[   ]libabigail-2.3-r0.apk2024-10-25 20:31 866K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 20:31 3.0K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 20:32 1.3M 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 20:32 61K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 20:32 106K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 20:32 53K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 20:32 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 11:08 482K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 11:08 927K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 20:32 29K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 20:32 4.3K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 20:32 31K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 20:32 29K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 20:32 4.6K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 20:32 5.8K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 20:32 8.0K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 20:32 161K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 20:32 6.4K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 20:32 31K 
[   ]libbloom-2.0-r0.apk2024-10-25 20:32 5.5K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 20:32 3.5K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 04:06 118K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 04:06 15K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 20:32 47K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 20:32 39K 
[   ]libcli-1.10.7-r0.apk2024-10-25 20:32 29K 
[   ]libcork-0.15.0-r7.apk2024-10-25 20:32 37K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 20:32 30K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 20:32 4.5K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 20:32 14K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 20:32 8.1K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 20:32 12K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 20:32 8.1K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 20:32 2.5K 
[   ]libcpdf-2.8.1-r0.apk2025-05-08 11:05 2.6M 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-08 11:05 17K 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-08 11:05 3.2M 
[   ]libctl-4.5.1-r1.apk2024-10-25 20:32 96K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 20:32 39K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 20:32 3.0K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 20:32 21K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 20:32 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 20:32 8.6K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 20:32 24K 
[   ]libdbusaccess-1.0.20-r0.apk2025-04-15 01:17 18K 
[   ]libdbusaccess-dev-1.0.20-r0.apk2025-04-15 01:17 5.0K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-19 17:09 6.6M 
[   ]libeantic-2.1.0-r1.apk2025-06-13 04:58 80K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 04:58 18K 
[   ]libecap-1.0.1-r1.apk2024-10-25 20:32 13K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 20:32 11K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 20:32 17K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 20:32 168K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 20:32 19K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 20:32 44K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 20:32 18K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 20:32 205K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 20:32 10K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 20:32 59K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 20:32 75K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 20:32 110K 
[   ]libfort-0.4.2-r0.apk2024-10-25 20:32 32K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 20:32 17K 
[   ]libfyaml-0.9-r0.apk2024-10-25 20:32 287K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 20:32 42K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 20:32 7.4K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 20:32 93K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 20:32 244K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 20:32 105K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-08 11:05 13K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-08 11:05 5.5K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-08 11:05 25K 
[   ]libgrapheme-1-r0.apk2024-10-25 20:32 12K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 20:32 12K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 20:32 8.0K 
[   ]libguestfs-1.52.0-r3.apk2025-06-13 14:01 346K 
[   ]libguestfs-dev-1.52.0-r3.apk2025-06-13 14:01 29K 
[   ]libguestfs-doc-1.52.0-r3.apk2025-06-13 14:01 559K 
[   ]libguestfs-static-1.52.0-r3.apk2025-06-13 14:01 463K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 20:32 21K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 20:32 24K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 20:32 5.5K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 20:32 5.7K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 20:32 13K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:45 17K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:45 3.3K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:45 2.2K 
[   ]libiio-0.25-r2.apk2024-10-25 20:32 56K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 20:32 13K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 20:32 18K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 20:32 21K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 20:32 77K 
[   ]libiml-1.0.5-r3.apk2024-10-25 20:32 95K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 20:32 3.9K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 20:32 97K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 08:58 14K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 22:45 29K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 22:45 4.1K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 22:45 8.6K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 20:32 62K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 20:32 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 20:32 9.3K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 20:32 74K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 20:32 88K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 20:32 8.2K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 20:32 4.2K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 20:32 3.7K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 20:32 165K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 20:32 20K 
[   ]libm4ri-20240729-r2.apk2025-01-15 17:59 129K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 17:59 32K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 17:59 140K 
[   ]libm4rie-20200125-r5.apk2025-01-15 17:59 195K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 17:59 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 17:59 222K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 20:32 724K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 20:32 2.7M 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 20:32 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 20:32 8.9K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 20:32 37K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 20:32 14K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 20:32 93K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 20:32 104K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 20:32 8.2K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 20:32 36K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 20:32 5.4K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 20:32 19K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 20:32 51K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 20:32 20K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 20:32 31K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 20:32 84K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 20:32 87K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 20:32 12K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:36 1.2K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:36 70K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 20:32 58K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 20:32 7.9K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 20:32 22K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 20:32 61K 
[   ]libnfcdef-1.0.1-r0.apk2025-04-15 01:17 14K 
[   ]libnfcdef-dev-1.0.1-r0.apk2025-04-15 01:17 5.7K 
[   ]libnih-1.0.3-r7.apk2024-10-25 20:32 112K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 20:32 112K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 20:32 2.7K 
[   ]libntl-11.5.1-r4.apk2024-10-25 20:32 1.3M 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 20:32 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 20:32 374K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 20:32 1.8M 
[   ]libnxml-0.18.3-r0.apk2024-10-25 20:32 20K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 20:32 27K 
[   ]libofx-0.10.9-r1.apk2024-10-25 20:32 63K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 20:32 20K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 20:32 106K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 20:32 95K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 20:32 177K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 20:32 134K 
[   ]libqofono-0.124-r0.apk2025-01-10 12:02 1.2K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 12:02 42K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 12:02 298K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 12:02 449K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-14 15:28 69K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-14 15:28 32K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 20:32 12K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 20:32 4.5K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 20:32 21K 
[   ]libre-3.23.0-r0.apk2025-06-27 07:13 305K 
[   ]libre-dev-3.23.0-r0.apk2025-06-27 07:13 479K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 20:32 45K 
[   ]libresprite-1.2-r0.apk2025-04-15 01:17 15M 
[   ]libresprite-doc-1.2-r0.apk2025-04-15 01:17 15K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 20:32 318K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 20:32 455K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 20:32 323K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 20:32 1.9M 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 20:32 452K 
[   ]libretro-blastem-0_git20210810-r0.apk2024-10-25 20:32 248K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 20:32 692K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 20:32 256K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 20:32 300K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 20:32 292K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 20:32 753K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 20:32 129K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 20:32 13M 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 20:32 36K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 20:32 174K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 20:32 903K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 20:32 1.2M 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 20:32 202K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 20:32 8.6K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 20:32 185K 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 20:32 3.9M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 20:32 9.1M 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 20:32 188K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 20:32 530K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 20:32 302K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 20:32 536K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 20:32 175K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 20:32 523K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 20:32 92K 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 20:32 20M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 20:32 1.0M 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 20:32 873K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 20:32 396K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 20:32 116K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 20:32 105K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 20:32 125K 
[   ]libsds-2.0.0-r1.apk2024-10-25 20:32 10K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 20:32 3.8K 
[   ]libsemanage-3.6-r1.apk2024-10-25 20:32 100K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 20:32 143K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 20:32 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 19:04 849K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 19:04 335K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 19:04 1.7M 
[   ]libserialport-0.1.1-r1.apk2024-10-25 20:32 20K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 20:32 35K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 20:32 51K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 20:32 523K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 20:32 31K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 20:32 336K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 20:32 39K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-11 02:17 214K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-11 02:17 16K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-11 02:17 152K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 20:32 63K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 20:32 1.9K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 10:07 315K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 10:07 21K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 19:56 55K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 19:56 79K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 19:56 167K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 20:32 4.8M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 20:32 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 20:32 6.5M 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 20:32 40K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 20:32 1.5K 
[   ]libtins-4.5-r1.apk2024-10-25 20:32 332K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 20:32 141K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 20:32 2.3K 
[   ]libtommath-1.2.1-r1.apk2025-05-25 07:50 44K 
[   ]libtommath-dev-1.2.1-r1.apk2025-05-25 07:50 68K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 05:56 28K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 05:56 11K 
[   ]libucl-0.9.0-r0.apk2024-10-25 20:32 56K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 20:32 83K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 20:32 8.9K 
[   ]libuecc-7-r4.apk2025-03-03 16:06 9.8K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:06 4.6K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 20:32 696K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 20:32 3.5K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 20:32 2.0K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 20:32 59K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 20:32 59K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 20:32 15K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 20:32 2.9K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 20:32 123K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 20:32 336K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 20:32 190K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 20:32 131K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 20:32 9.9K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 20:32 5.7K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 20:32 78K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 20:32 9.0K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 20:32 28K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 20:32 4.5K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 20:32 1.5K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 20:32 1.7K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 07:47 68K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 07:47 29K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 182K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 77K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 20:32 61K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 20:32 8.2K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 20:32 64K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 167K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzvbi-0.2.44-r0.apk2025-03-19 13:13 240K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-19 13:13 14K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-19 13:13 291K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 20:32 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 20:32 18K 
[   ]limnoria-20240828-r0.apk2024-10-25 20:32 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 20:32 8.4K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 20:32 1.2M 
[   ]linkchecker-10.5.0-r0.apk2025-05-18 23:05 181K 
[   ]linkchecker-doc-10.5.0-r0.apk2025-05-18 23:05 39K 
[   ]linkchecker-pyc-10.5.0-r0.apk2025-05-18 23:05 254K 
[   ]linkquisition-1.6.1-r6.apk2025-07-10 19:45 12M 
[   ]linphone-5.3.38-r0.apk2024-10-25 20:32 9.0M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 20:32 250K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 20:32 3.1M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 20:32 197K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 20:32 5.1K 
[   ]linuxkit-1.6.0-r1.apk2025-07-10 19:45 14M 
[   ]linuxkit-doc-1.6.0-r1.apk2025-07-10 19:45 10K 
[   ]linuxptp-4.4-r0.apk2024-11-17 17:17 1.2K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 17:17 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 17:17 4.4K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 17:17 33K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 17:17 37K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 17:17 9.9K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 17:17 36K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 17:17 78K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 17:17 17K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 17:17 37K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 17:17 20K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 20:32 32M 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 20:32 57K 
[   ]litehtml-0.9-r2.apk2025-02-14 15:28 342K 
[   ]litehtml-dev-0.9-r2.apk2025-02-14 15:28 42K 
[   ]litehtml-static-0.9-r2.apk2025-02-14 15:28 526K 
[   ]litterbox-1.9-r1.apk2024-10-25 20:32 36K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 20:32 7.2K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 09:30 116K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 09:30 1.9K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 09:30 31K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 09:30 7.4K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 09:30 2.0K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 09:30 344K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 09:30 1.7K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 09:30 1.2M 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 09:30 11K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 09:30 930K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 09:30 1.6K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 09:30 142K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 09:30 1.7K 
[   ]llmnrd-0.7-r1.apk2024-10-25 20:32 16K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 20:32 3.0K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 20:32 1.9K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 20:32 5.2K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 20:32 72K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 20:32 39K 
[   ]log4cxx-1.1.0-r3.apk2025-02-14 15:28 551K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-14 15:28 135K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:01 8.5K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:01 17K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:01 5.1K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:01 8.6K 
[   ]logtop-0.7-r0.apk2024-10-25 20:32 15K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 20:32 2.8K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 20:32 16K 
[   ]logwatch-7.10-r1.apk2024-10-25 20:32 483K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 20:32 38K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 20:32 491K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 20:32 6.5K 
[   ]lolcat-1.4-r0.apk2024-10-25 20:32 9.6K 
[   ]lomiri-0.5.0-r0.apk2025-05-09 17:32 4.0M 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 05:48 85K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 05:48 5.0K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-14 15:28 34K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-14 15:28 32K 
[   ]lomiri-app-launch-0.1.12-r1.apk2025-06-28 17:26 348K 
[   ]lomiri-app-launch-dev-0.1.12-r1.apk2025-06-28 17:26 20K 
[   ]lomiri-calculator-app-4.0.2-r1.apk2025-03-19 13:13 374K 
[   ]lomiri-calculator-app-lang-4.0.2-r1.apk2025-03-19 13:13 34K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-09 17:32 230K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-09 17:32 456K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-15 01:17 291K 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-15 01:17 11K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-15 01:17 1.4M 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-15 01:17 47K 
[   ]lomiri-download-manager-0.2.1-r0.apk2025-04-15 01:17 632K 
[   ]lomiri-download-manager-dev-0.2.1-r0.apk2025-04-15 01:17 17K 
[   ]lomiri-download-manager-doc-0.2.1-r0.apk2025-04-15 01:17 3.4M 
[   ]lomiri-download-manager-lang-0.2.1-r0.apk2025-04-15 01:17 30K 
[   ]lomiri-filemanager-app-1.0.4-r1.apk2025-03-19 13:13 343K 
[   ]lomiri-filemanager-app-lang-1.0.4-r1.apk2025-03-19 13:13 171K 
[   ]lomiri-gallery-app-3.0.2-r1.apk2025-03-19 13:13 3.7M 
[   ]lomiri-gallery-app-lang-3.0.2-r1.apk2025-03-19 13:13 106K 
[   ]lomiri-history-service-0.6-r8.apk2025-07-03 15:31 363K 
[   ]lomiri-history-service-dev-0.6-r8.apk2025-07-03 15:31 11K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 12:36 30K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 12:36 27K 
[   ]lomiri-indicator-network-1.1.1-r0.apk2025-05-09 17:32 626K 
[   ]lomiri-indicator-network-dev-1.1.1-r0.apk2025-05-09 17:32 9.5K 
[   ]lomiri-indicator-network-doc-1.1.1-r0.apk2025-05-09 17:32 2.1K 
[   ]lomiri-indicator-network-lang-1.1.1-r0.apk2025-05-09 17:32 196K 
[   ]lomiri-lang-0.5.0-r0.apk2025-05-09 17:32 269K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-14 15:28 172K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-14 15:28 7.8K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-14 15:28 228K 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-14 15:28 43K 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 14:20 2.3M 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 14:20 30K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 14:20 2.9K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 14:20 26K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:22 100K 
[   ]lomiri-schemas-0.1.8-r1.apk2025-06-28 17:26 10K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 10:22 221K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 10:22 98K 
[   ]lomiri-sounds-22.02-r1.apk2025-03-19 13:13 18M 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-09 17:32 1.1M 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-09 17:32 846K 
[   ]lomiri-telephony-service-0.6.1-r3.apk2025-07-03 15:31 1.0M 
[   ]lomiri-telephony-service-lang-0.6.1-r3.apk2025-07-03 15:31 108K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-09 17:32 66K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-09 17:32 2.7K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-09 17:32 91K 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-14 15:28 228K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-14 15:28 5.0K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-14 15:28 1.5K 
[   ]lomiri-trust-store-2.0.2-r10.apk2025-06-28 17:26 1.0M 
[   ]lomiri-trust-store-dev-2.0.2-r10.apk2025-06-28 17:26 9.1K 
[   ]lomiri-trust-store-lang-2.0.2-r10.apk2025-06-28 17:26 28K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 05:48 269K 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 05:48 52K 
[   ]lomiri-ui-toolkit-1.3.5110-r1.apk2025-06-28 17:26 1.3M 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r1.apk2025-06-28 17:26 168K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r1.apk2025-06-28 17:26 97K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-09 17:32 40K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-09 17:32 3.3K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-09 17:32 26K 
[   ]lotide-0.15.0-r0.apk2024-10-25 20:32 4.1M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 20:32 3.1K 
[   ]lout-3.42.2-r0.apk2024-10-25 20:32 1.4M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 20:32 453K 
[   ]lrcalc-2.1-r1.apk2024-10-25 20:32 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 20:32 11K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 20:32 29K 
[   ]lsdvd-0.17-r0.apk2024-10-25 20:32 13K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 20:32 2.5K 
[   ]lshell-0.9.18-r11.apk2024-10-25 20:32 36K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 20:32 25K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 20:32 35K 
[   ]lsix-1.8.2-r0.apk2024-10-25 20:32 6.5K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 20:32 279K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 20:32 368K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 20:32 1.2K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 20:32 3.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 20:32 9.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 20:32 1.4K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 20:32 1.1K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 20:32 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 20:32 19K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 20:32 1.2K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 20:32 1.5K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 20:32 20K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 20:32 89K 
[   ]lua-psl-0.3-r0.apk2024-10-25 20:32 1.1K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 20:32 5.3K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 20:32 3.6K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 20:32 1.4K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 20:32 60K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 20:32 28K 
[   ]lua5.1-libguestfs-1.52.0-r3.apk2025-06-13 14:01 99K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 20:32 11K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 20:32 19K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 20:32 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 20:32 413K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 20:32 81K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 20:32 6.6K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 20:32 24K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 20:32 4.5K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 20:32 59K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 20:32 11K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 20:32 19K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 20:32 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 20:32 413K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 20:32 8.8K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 20:32 6.5K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 20:32 24K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 20:32 4.5K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 20:32 60K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 20:32 19K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 20:32 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 20:32 413K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 20:32 8.9K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 20:32 6.5K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 20:32 4.5K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 20:32 60K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 20:32 19K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 20:32 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 20:32 8.9K 
[   ]luacov-0.15.0-r0.apk2024-10-25 20:32 1.5K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 20:32 1.2K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 20:32 35K 
[   ]luksmeta-9-r0.apk2024-10-25 20:32 13K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 20:32 3.1K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 20:32 5.5K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 20:32 1.2K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 20:32 165K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 20:32 9.0M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 20:32 819K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 20:32 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 20:32 161K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 20:32 395K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 20:32 200K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 20:32 126K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 20:32 169K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 20:32 96K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 20:32 194K 
[   ]lutgen-0.11.2-r0.apk2024-10-25 20:32 1.7M 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 20:32 1.7K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 20:32 4.2K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 20:32 1.7K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 20:32 1.6K 
[   ]lutris-0.5.19-r0.apk2025-05-26 11:08 819K 
[   ]lutris-doc-0.5.19-r0.apk2025-05-26 11:08 2.3K 
[   ]lutris-lang-0.5.19-r0.apk2025-05-26 11:08 810K 
[   ]lutris-pyc-0.5.19-r0.apk2025-05-26 11:08 1.1M 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 20:32 31K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 20:32 3.2K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 20:32 2.6K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 20:32 80K 
[   ]lxd-5.0.3-r12.apk2025-07-10 19:45 15M 
[   ]lxd-bash-completion-5.0.3-r12.apk2025-07-10 19:45 5.1K 
[   ]lxd-client-5.0.3-r12.apk2025-07-10 19:45 6.5M 
[   ]lxd-feature-5.20-r12.apk2025-07-10 19:45 71M 
[   ]lxd-feature-bash-completion-5.20-r12.apk2025-07-10 19:45 5.1K 
[   ]lxd-feature-doc-5.20-r12.apk2025-07-10 19:45 1.7K 
[   ]lxd-feature-openrc-5.20-r12.apk2025-07-10 19:45 2.4K 
[   ]lxd-feature-scripts-5.20-r12.apk2025-07-10 19:45 2.1K 
[   ]lxd-openrc-5.0.3-r12.apk2025-07-10 19:45 2.5K 
[   ]lxd-scripts-5.0.3-r12.apk2025-07-10 19:45 27M 
[   ]lxd-vm-5.0.3-r12.apk2025-07-10 19:45 1.3K 
[   ]lxqt-wayland-session-0.2.0-r0.apk2025-05-24 22:16 336K 
[   ]lxqt-wayland-session-doc-0.2.0-r0.apk2025-05-24 22:16 29K 
[   ]lynis-3.1.1-r0.apk2024-10-25 20:32 271K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 20:32 3.0K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 20:32 49K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 20:32 13K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 20:32 16K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 20:32 38M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 20:32 2.0K 
[   ]maddy-0.8.1-r4.apk2025-07-10 19:45 10M 
[   ]maddy-doc-0.8.1-r4.apk2025-07-10 19:45 2.4K 
[   ]maddy-openrc-0.8.1-r4.apk2025-07-10 19:45 2.0K 
[   ]maddy-vim-0.8.1-r4.apk2025-07-10 19:45 3.6K 
[   ]mage-1.13.0-r23.apk2025-05-12 04:04 1.6M 
[   ]maildir2rss-0.0.7-r6.apk2025-07-10 19:45 3.6M 
[   ]mailsec-check-0_git20210729-r27.apk2025-07-10 19:45 2.6M 
[   ]makeclapman-2.4.4-r6.apk2025-07-10 19:45 1.3M 
[   ]makeclapman-doc-2.4.4-r6.apk2025-07-10 19:45 4.1K 
[   ]makedumpfile-1.7.7-r0.apk2025-04-22 14:31 169K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-04-22 14:31 24K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-04-22 14:31 2.9K 
[   ]makeself-2.5.0-r0.apk2024-10-25 20:32 13K 
[   ]malcontent-0.12.0-r0.apk2025-05-08 11:05 153K 
[   ]malcontent-dev-0.12.0-r0.apk2025-05-08 11:05 24K 
[   ]malcontent-doc-0.12.0-r0.apk2025-05-08 11:05 45K 
[   ]mangal-4.0.6-r19.apk2025-07-10 19:45 10M 
[   ]mangal-bash-completion-4.0.6-r19.apk2025-07-10 19:45 5.0K 
[   ]mangal-fish-completion-4.0.6-r19.apk2025-07-10 19:45 3.9K 
[   ]mangal-zsh-completion-4.0.6-r19.apk2025-07-10 19:45 4.0K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 20:32 2.8K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 20:32 14K 
[   ]manifest-tool-2.2.0-r2.apk2025-07-10 19:45 4.0M 
[   ]mapnik-4.0.6-r1.apk2025-06-10 10:21 12M 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 10:21 486K 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 10:21 142K 
[   ]mapserver-8.4.0-r2.apk2025-06-11 11:58 1.4M 
[   ]mapserver-dev-8.4.0-r2.apk2025-06-11 11:58 540K 
[   ]marxan-4.0.7-r1.apk2024-10-25 20:32 581K 
[   ]masky-0.2.0-r2.apk2025-05-29 11:59 277K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 11:59 64K 
[   ]mat2-0.13.4-r3.apk2025-04-25 05:14 35K 
[   ]mat2-doc-0.13.4-r3.apk2025-04-25 05:14 7.7K 
[   ]mat2-pyc-0.13.4-r3.apk2025-04-25 05:14 53K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 20:32 1.5K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 20:32 1.8K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 20:32 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 20:32 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 20:32 22K 
[   ]materia-kde-20220823-r0.apk2024-10-25 20:32 19K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 20:32 1.8K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 20:32 30K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 20:32 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 20:32 1.5K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 20:32 30K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 20:32 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 20:32 21K 
[   ]maxima-5.47.0-r9.apk2025-07-07 21:30 22M 
[   ]maxima-bash-completion-5.47.0-r9.apk2025-07-07 21:30 2.3K 
[   ]maxima-doc-5.47.0-r9.apk2025-07-07 21:30 760K 
[   ]maxima-doc-extra-5.47.0-r9.apk2025-07-07 21:30 9.4M 
[   ]maxima-emacs-5.47.0-r9.apk2025-07-07 21:30 111K 
[   ]mcjoin-2.11-r0.apk2024-10-25 20:32 23K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 20:32 54K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 20:32 15K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 20:32 4.1K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 20:32 9.0K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-16 21:33 1.1M 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 12:08 879K 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 10:44 1.3M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:16 2.8M 
[   ]mdbook-mermaid-0.15.0-r0.apk2025-05-17 13:07 1.8M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 20:32 1.0M 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:04 3.4M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:04 2.2K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:04 6.0K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:04 2.1K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:04 2.4K 
[   ]mdnsd-0.12-r1.apk2024-10-25 20:32 24K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 20:32 14K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 20:32 19K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 20:32 2.1K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 20:32 19K 
[   ]mdp-1.0.18-r0.apk2025-07-10 19:45 18K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-10 19:45 3.9K 
[   ]mediascanner2-0.115-r3.apk2025-03-19 13:13 280K 
[   ]mediastreamer2-5.3.100-r1.apk2025-06-01 15:50 391K 
[   ]mediastreamer2-dev-5.3.100-r1.apk2025-06-01 15:50 110K 
[   ]mediastreamer2-doc-5.3.100-r1.apk2025-06-01 15:50 108K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-06 22:31 12K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 20:32 8.1K 
[   ]meep-1.30.0-r1.apk2025-07-04 22:06 662K 
[   ]meep-dev-1.30.0-r1.apk2025-07-04 22:06 505K 
[   ]megatools-1.11.4.20250411-r0.apk2025-05-03 17:33 65K 
[   ]megatools-bash-completion-1.11.4.20250411-r0.apk2025-05-03 17:33 4.1K 
[   ]megatools-doc-1.11.4.20250411-r0.apk2025-05-03 17:33 52K 
[   ]megazeux-2.93d-r0.apk2025-06-10 16:00 1.7M 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 16:00 465K 
[   ]melange-0.29.1-r0.apk2025-07-10 19:45 12M 
[   ]melange-bash-completion-0.29.1-r0.apk2025-07-10 19:45 6.8K 
[   ]melange-fish-completion-0.29.1-r0.apk2025-07-10 19:45 4.3K 
[   ]melange-zsh-completion-0.29.1-r0.apk2025-07-10 19:45 4.0K 
[   ]meli-0.8.11-r0.apk2025-05-04 14:22 5.3M 
[   ]meli-doc-0.8.11-r0.apk2025-05-04 14:22 48K 
[   ]memdump-1.01-r1.apk2024-10-25 20:32 5.7K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 20:32 3.1K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 20:32 111K 
[   ]merge-usr-0_git20250703-r0.apk2025-07-03 15:31 9.3K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 20:32 306K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 20:32 42K 
[   ]merlin-4.14-r0.apk2024-10-25 20:32 15M 
[   ]merlin-dev-4.14-r0.apk2024-10-25 20:32 22M 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 20:32 29K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 20:32 28K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:35 2.1M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-07-08 20:56 49K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-07-08 20:56 1.9M 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-07-08 20:56 66K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 20:32 54K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 20:32 1.0M 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 20:32 50K 
[   ]mimalloc1-1.9.3-r1.apk2025-05-18 16:00 85K 
[   ]mimalloc1-debug-1.9.3-r1.apk2025-05-18 16:00 208K 
[   ]mimalloc1-dev-1.9.3-r1.apk2025-05-18 16:00 515K 
[   ]mimalloc1-insecure-1.9.3-r1.apk2025-05-18 16:00 79K 
[   ]mimedefang-3.6-r0.apk2025-03-02 12:15 159K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 12:15 80K 
[   ]mimeo-2023-r2.apk2024-10-25 20:32 28K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 20:32 42K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 20:32 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 20:32 5.1K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 20:32 1.8K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-11 03:36 197K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-11 03:36 135K 
[   ]minimodem-0.24-r1.apk2024-10-25 20:32 21K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 20:32 5.2K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 20:32 322K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 20:32 1.9K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 20:32 2.2K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 20:32 13K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 10:07 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 10:07 7.4K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 20:32 2.3K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 20:32 490K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 20:32 603K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 20:32 510K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 20:32 6.1K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 20:32 35K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 22:24 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 22:24 11K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 20:32 4.1K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 20:32 656K 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 20:32 1.8M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 20:32 1.6M 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 20:32 58K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 20:32 207K 
[   ]mir-2.21.1-r0.apk2025-07-12 11:30 2.3M 
[   ]mir-demos-2.21.1-r0.apk2025-07-12 11:30 160K 
[   ]mir-dev-2.21.1-r0.apk2025-07-12 11:30 8.3M 
[   ]mir-test-tools-2.21.1-r0.apk2025-07-12 11:30 298K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 13:34 26K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 15:03 185K 
[   ]mkbrr-1.13.0-r1.apk2025-07-10 19:45 4.1M 
[   ]mkcert-1.4.4-r20.apk2025-07-10 19:45 1.8M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 20:32 29K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 20:32 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 20:32 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 20:32 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 20:32 4.7K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 20:32 249K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 20:32 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 20:32 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 20:32 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 20:32 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 20:32 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 20:32 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 20:32 1.8K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 20:32 14K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 20:32 3.1K 
[   ]mkosi-25.3-r0.apk2025-02-01 22:32 251K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-01 22:32 393K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 20:32 9.8K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 20:32 2.5K 
[   ]mlxl-0.1-r0.apk2024-10-25 20:32 5.9K 
[   ]mm-1.4.2-r1.apk2024-10-25 20:32 8.6K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 16:39 414K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 16:39 33K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 20:32 12K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 20:32 14K 
[   ]mmar-0.2.5-r1.apk2025-05-12 04:04 2.6M 
[   ]mml-1.0.0-r0.apk2025-01-30 18:22 1.0M 
[   ]mml-bash-completion-1.0.0-r0.apk2025-01-30 18:22 2.2K 
[   ]mml-doc-1.0.0-r0.apk2025-01-30 18:22 3.8K 
[   ]mml-fish-completion-1.0.0-r0.apk2025-01-30 18:22 2.2K 
[   ]mml-zsh-completion-1.0.0-r0.apk2025-01-30 18:22 2.8K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 20:32 32K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 20:32 60K 
[   ]mobpass-0.2-r6.apk2024-10-25 20:32 18K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 20:32 5.2K 
[   ]mobroute-0.10.0-r1.apk2025-07-10 19:45 4.6M 
[   ]mobroute-doc-0.10.0-r1.apk2025-07-10 19:45 1.3M 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 20:32 9.0K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 20:32 344K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 20:32 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 20:32 129K 
[   ]moe-1.14-r0.apk2024-10-25 20:32 113K 
[   ]moe-doc-1.14-r0.apk2024-10-25 20:32 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 20:32 114M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 20:32 2.5M 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 20:32 77K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 20:32 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 20:32 186K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 20:32 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:11 89K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:11 1.7K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 20:32 39K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 20:32 7.1K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 09:30 286K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 09:30 121K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 09:30 7.8K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 09:30 2.0K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 09:30 207K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 09:30 1.7K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 09:30 691K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 09:30 95K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 09:30 383K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 09:30 1.7K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 09:30 42K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 09:30 1.7K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 09:30 724K 
[   ]motion-4.7.0-r0.apk2024-10-25 20:32 147K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 20:32 140K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 20:32 471K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 20:32 2.3K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 20:32 13K 
[   ]mpdcron-0.3-r1.apk2024-10-25 20:32 98K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 20:32 55K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 20:32 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 20:32 2.9K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 20:32 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 20:32 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 20:32 2.3K 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:16 1.4M 
[   ]mqtt2prometheus-0.1.7-r17.apk2025-07-10 19:45 4.5M 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 20:32 5.6K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 20:32 208K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 20:32 10K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 20:32 62K 
[   ]msgpuck-2.0-r1.apk2024-10-25 20:32 1.2K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 20:32 23K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 20:32 7.3K 
[   ]msh-2.5.0-r13.apk2025-07-10 19:45 2.9M 
[   ]msh-openrc-2.5.0-r13.apk2025-07-10 19:45 2.0K 
[   ]mspdebug-0.25-r1.apk2024-10-25 20:32 207K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 20:32 14K 
[   ]mtg-2.1.7-r22.apk2025-07-10 19:45 4.5M 
[   ]mtg-openrc-2.1.7-r22.apk2025-07-10 19:45 1.9K 
[   ]murex-7.0.2107-r1.apk2025-07-10 19:45 6.2M 
[   ]murex-doc-7.0.2107-r1.apk2025-07-10 19:45 304K 
[   ]muse-4.2.1-r2.apk2025-05-12 11:59 6.4M 
[   ]muse-doc-4.2.1-r2.apk2025-05-12 11:59 4.1M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 19:32 2.4M 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 19:32 19K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 19:32 1.3K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 19:32 80K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 19:32 22K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 19:32 30K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 19:32 384K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 19:32 20K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 19:32 31K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 19:32 37K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 20:32 76K 
[   ]n30f-2.0-r3.apk2024-10-25 20:32 7.1K 
[   ]naabu-2.3.4-r3.apk2025-07-10 19:45 9.6M 
[   ]naabu-doc-2.3.4-r3.apk2025-07-10 19:45 2.3K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 20:32 2.2K 
[   ]nauty-2.8.9-r0.apk2024-10-25 20:32 6.9M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 20:32 4.3M 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 20:32 3.4M 
[   ]nb-7.19.1-r0.apk2025-05-24 22:10 152K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:10 77K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:10 2.8K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:10 1.3K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:10 2.9K 
[   ]nbsdgames-5-r0.apk2024-10-25 20:32 110K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 20:32 9.4K 
[   ]neard-0.19-r0.apk2024-10-25 20:32 141K 
[   ]neard-dev-0.19-r0.apk2024-10-25 20:32 11K 
[   ]neard-doc-0.19-r0.apk2024-10-25 20:32 5.6K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 20:32 1.7K 
[   ]neko-2.3.0-r0.apk2024-11-20 22:25 461K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 22:25 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 22:25 20K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2025-03-10 18:17 24K 
[   ]neocmakelsp-0.8.22-r0.apk2025-05-05 08:12 1.9M 
[   ]neocmakelsp-bash-completion-0.8.22-r0.apk2025-05-05 08:12 2.0K 
[   ]neocmakelsp-doc-0.8.22-r0.apk2025-05-05 08:12 5.6K 
[   ]neocmakelsp-fish-completion-0.8.22-r0.apk2025-05-05 08:12 1.6K 
[   ]neocmakelsp-zsh-completion-0.8.22-r0.apk2025-05-05 08:12 1.8K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 21:47 86K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 21:47 6.2K 
[   ]nerdlog-1.10.0-r1.apk2025-07-10 19:45 2.8M 
[   ]nerdlog-doc-1.10.0-r1.apk2025-07-10 19:45 13K 
[   ]net-predictable-1.5.1-r1.apk2025-07-10 19:45 940K 
[   ]net-predictable-doc-1.5.1-r1.apk2025-07-10 19:45 2.2K 
[   ]netdiscover-0.20-r0.apk2025-05-17 21:48 916K 
[   ]netdiscover-doc-0.20-r0.apk2025-05-17 21:48 4.2K 
[   ]netscanner-0.5.1-r1.apk2025-07-01 19:03 3.7M 
[   ]netscanner-doc-0.5.1-r1.apk2025-07-01 19:03 3.3K 
[   ]netsed-1.3-r3.apk2024-10-25 20:32 10K 
[   ]networkmanager-openconnect-1.2.10-r1.apk2025-04-07 22:00 69K 
[   ]networkmanager-openconnect-lang-1.2.10-r1.apk2025-04-07 22:00 1.0M 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 20:32 18K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 20:32 24K 
[   ]nextpnr-0.7-r0.apk2024-10-25 20:32 1.4K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 20:32 28M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 20:32 783K 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 20:32 1.5M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 20:33 74M 
[   ]nfcd-1.2.2-r0.apk2025-05-08 11:05 334K 
[   ]nfcd-dev-1.2.2-r0.apk2025-05-08 11:05 24K 
[   ]nfoview-2.1-r0.apk2025-04-15 01:17 39K 
[   ]nfoview-doc-2.1-r0.apk2025-04-15 01:17 8.0K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 20:33 713K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 20:33 21K 
[   ]ngs-0.2.14-r0.apk2024-10-25 20:33 295K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 20:33 33K 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 20:33 4.9K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 20:33 593K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 20:33 190K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 20:33 15K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 20:33 2.9K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 20:33 7.0K 
[   ]nlopt-2.10.0-r1.apk2025-06-04 11:19 196K 
[   ]nlopt-dev-2.10.0-r1.apk2025-06-04 11:19 12K 
[   ]nlopt-doc-2.10.0-r1.apk2025-06-04 11:19 23K 
[   ]nlopt-guile-2.10.0-r1.apk2025-06-04 11:19 45K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 20:33 103K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 20:33 27K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 11:59 20K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 11:59 2.0K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 11:59 808K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 20:33 406K 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 20:33 19K 
[   ]noggin-model-0.1-r0.apk2024-10-25 20:33 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 20:33 1.7M 
[   ]noice-0.8-r1.apk2024-10-25 20:33 9.8K 
[   ]noice-doc-0.8-r1.apk2024-10-25 20:33 3.4K 
[   ]nom-2.8.0-r4.apk2025-07-10 19:45 7.1M 
[   ]nom-doc-2.8.0-r4.apk2025-07-10 19:45 4.0K 
[   ]nomadnet-0.7.0-r0.apk2025-05-27 21:33 143K 
[   ]nomadnet-pyc-0.7.0-r0.apk2025-05-27 21:33 284K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 04:58 46K 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 04:58 73K 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 04:58 3.0M 
[   ]notification-daemon-3.20.0-r1.apk2025-07-01 22:03 62K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 20:33 9.2K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 20:33 2.6K 
[   ]nsq-1.3.0-r10.apk2025-05-12 04:04 26M 
[   ]ntpd-rs-1.6.0-r0.apk2025-06-27 13:09 3.2M 
[   ]ntpd-rs-doc-1.6.0-r0.apk2025-06-27 13:09 24K 
[   ]ntpd-rs-openrc-1.6.0-r0.apk2025-06-27 13:09 1.9K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 20:33 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 20:33 42K 
[   ]nullmailer-2.2-r4.apk2024-10-25 20:33 131K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 20:33 10K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 20:33 1.6K 
[   ]nuzzle-1.6-r0.apk2025-01-17 14:15 12K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 14:15 3.2K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 20:33 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 20:33 7.8K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 20:33 4.2K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 20:33 3.3K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 20:33 1.8K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 20:33 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 20:33 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 20:33 2.6K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 20:33 3.5K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 20:33 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 20:33 3.8K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 20:33 2.0K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 20:33 10K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 20:33 2.8K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 20:33 46K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 20:33 21K 
[   ]nvim-treesitter-0.10.0-r0.apk2025-06-01 15:50 412K 
[   ]nvim-treesitter-doc-0.10.0-r0.apk2025-06-01 15:50 31K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:58 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:58 7.1K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 20:33 13K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 20:33 4.4K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 20:33 1.8K 
[   ]nwg-bar-0.1.6-r11.apk2025-07-10 19:45 1.6M 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 20:33 24K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 20:33 35K 
[   ]nwg-dock-0.4.3-r1.apk2025-07-10 19:45 1.8M 
[   ]nwg-panel-0.10.9-r0.apk2025-07-08 06:06 282K 
[   ]nwg-panel-doc-0.10.9-r0.apk2025-07-08 06:06 4.4K 
[   ]nwg-panel-pyc-0.10.9-r0.apk2025-07-08 06:06 269K 
[   ]nwipe-0.38-r0.apk2025-06-19 19:05 272K 
[   ]nwipe-doc-0.38-r0.apk2025-06-19 19:05 3.8K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 20:33 132K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 20:33 1.7K 
[   ]nzbget-25.2-r0.apk2025-07-04 22:10 5.0M 
[   ]nzbget-openrc-25.2-r0.apk2025-07-04 22:10 2.1K 
[   ]oauth2-proxy-7.8.1-r3.apk2025-07-10 19:45 8.8M 
[   ]oauth2-proxy-openrc-7.8.1-r3.apk2025-07-10 19:45 2.1K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 20:33 243K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 15:50 35K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 15:50 98K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 15:50 250K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:37 143K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:37 33K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 20:33 474K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 20:33 813K 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 20:33 619K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 20:33 1.0M 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 20:33 180K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 20:33 335K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 20:33 88K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 20:33 158K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 20:33 324K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 20:33 565K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 20:33 292K 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 20:33 173K 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 20:33 7.9M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 20:33 1.9M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 20:33 4.6M 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 20:33 9.5M 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 20:33 92K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 20:33 174K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 20:33 12K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 20:33 12K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 20:33 49K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 20:33 91K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 20:33 643K 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 20:33 361K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 20:33 5.6M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 20:33 545K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 20:33 5.0M 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 20:33 574K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 20:33 478K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 20:33 336K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 20:33 30K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 20:33 39K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 20:33 395K 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 20:33 447K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 20:33 1.8M 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 20:33 175K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 20:33 442K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 20:33 227K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 20:33 159K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 20:33 12K 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-08 11:05 6.0M 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 20:33 118K 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 20:33 218K 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 20:33 1.4M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 20:33 5.1M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 20:33 2.5M 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 20:33 108K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 20:33 186K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 20:33 485K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 20:33 228K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 20:33 20K 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 20:33 690K 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 20:33 1.3M 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 20:33 9.0M 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 20:33 76K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 20:33 103K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 20:33 298K 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 20:33 540K 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 20:33 3.6M 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 20:33 6.6M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 20:33 22K 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-08 11:05 4.8M 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 20:33 5.1M 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 20:33 705K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 20:33 923K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 20:33 845K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 20:33 147K 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 20:33 310K 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 20:33 2.3M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 20:33 4.1M 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 20:33 13M 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 20:33 76K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 20:33 140K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 20:33 727K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 20:33 352K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 20:33 27K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 20:33 43K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 20:33 62K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 20:33 111K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 20:33 70K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 20:33 119K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 20:33 595K 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 20:33 778K 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 20:33 1.4M 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 20:33 1.7M 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 20:33 45K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 20:33 82K 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 20:33 657K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 20:33 1.3M 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 20:33 11K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 20:33 33K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 20:33 51K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 20:33 321K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 20:33 590K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 20:33 16K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 20:33 170K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 20:33 423K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 20:33 196K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 20:33 118K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 20:33 142K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 20:33 97K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 20:33 330K 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 20:33 600K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 20:33 4.1M 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 20:33 716K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 20:33 19K 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 20:33 3.1M 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 20:33 12M 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 20:33 37K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 20:33 74K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 20:33 87K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 20:33 147K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 20:33 43K 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 20:33 58K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 20:33 2.9M 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 20:33 31K 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 20:33 53K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 20:33 5.7M 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 20:33 656K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 20:33 14K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 20:33 18K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 20:33 131K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 20:33 266K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 20:33 323K 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 20:33 553K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 20:33 1.9M 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 20:33 760K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 20:33 55K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 20:33 86K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 20:33 123K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 20:33 63K 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 20:33 529K 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 20:33 8.3M 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 20:33 14M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 20:33 930K 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 20:33 1.5M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 20:33 2.9M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 20:33 1.4M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 20:33 3.8M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 20:33 3.8M 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 20:33 8.8K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 20:33 194K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 20:33 340K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 20:33 178K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 20:33 91K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 20:33 14K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 20:33 119K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 20:33 83K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 20:33 78K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 20:33 161K 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 20:33 506K 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 20:33 1.0M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 20:33 1.2M 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 20:33 3.1M 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 20:33 23K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 20:33 39K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 20:33 136K 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 20:33 253K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 20:33 4.7M 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 20:33 123K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 20:33 30K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 20:33 45K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 20:33 230K 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 20:33 326K 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 20:33 1.1M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 20:33 2.1M 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 16:20 1.6M 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 16:20 899K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 16:20 610K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 20:33 51K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 20:33 90K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 20:33 254K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 20:33 444K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 20:33 71K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 20:33 95K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 20:33 189K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 20:33 295K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 20:33 145K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 20:33 28K 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 20:33 33K 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 20:33 1.1M 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 20:33 3.0M 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 20:33 113K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 20:33 191K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 20:33 21K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 20:33 43K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 20:33 12K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 20:33 19K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 20:33 25K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 20:33 30K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 20:33 6.9K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 20:33 5.3K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 20:33 9.9K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 20:33 8.0K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 20:33 7.1K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 20:33 6.4K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 20:33 161K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 20:33 252K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 20:33 49K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 20:33 47K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 20:33 320K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 20:33 603K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 20:33 267K 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 20:33 87K 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 20:33 1.3M 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 20:33 9.8M 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 20:33 321K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 20:33 551K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 20:33 638K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 20:33 466K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 20:33 529K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 20:33 159K 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 20:33 255K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 20:33 2.0M 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 20:33 8.0K 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 20:33 342K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 20:33 3.3M 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 20:33 205K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 20:33 453K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 20:33 768K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 20:33 294K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 20:33 519K 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 20:33 560K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 20:33 1.0M 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 20:33 410K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 20:33 940K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 20:33 18K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 20:33 25K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 20:33 156K 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 20:33 304K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 20:33 4.6M 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 20:33 18K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 20:33 9.6K 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 20:33 11K 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 20:33 6.1M 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 20:33 1.3M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 20:33 607K 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 20:33 1.1M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 20:33 14M 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 20:33 16M 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 20:33 100K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 20:33 171K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 20:33 115K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 20:33 72K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 20:33 55K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 20:33 92K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 20:33 691K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 20:33 1.3M 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 20:33 389K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 20:33 3.5K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 20:33 14K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 20:33 18K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 20:33 540K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 20:33 969K 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 20:33 289K 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 20:33 184K 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 20:33 16M 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 20:33 28M 
[   ]ocaml-result-1.5-r2.apk2024-10-25 20:33 9.0K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 20:33 7.9K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 20:33 39K 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 20:33 34K 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 20:33 5.3M 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 20:33 1.5M 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 20:33 14K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 20:33 20K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 20:33 497K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 20:33 813K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 20:33 175K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 20:33 331K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 20:33 80K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 20:33 251K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 20:33 101K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 20:33 209K 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 20:33 4.2K 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 20:33 6.3M 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 20:33 9.9M 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 20:33 45K 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 20:33 77K 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 20:33 1.3M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 20:33 2.4M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 20:33 1.3M 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 20:33 2.3M 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 20:33 5.7K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 20:33 637K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 20:33 383K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 20:33 16K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 20:33 25K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 20:33 951K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 20:33 565K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 20:33 51K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 20:33 77K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 20:33 67K 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 20:33 107K 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 20:33 1.6M 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 20:33 4.4M 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 20:33 349K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 20:33 763K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 20:33 283K 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 20:33 167K 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 20:33 5.7M 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 20:33 1.2M 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 20:33 48K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 20:33 24K 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 20:33 529K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 20:33 1.3M 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 20:33 235K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 20:33 95K 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 20:33 47K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 20:33 1.6M 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 20:33 725K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 20:33 53K 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 20:33 866K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 20:33 1.5M 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 20:33 225K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 20:33 382K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 20:33 639K 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 20:33 97K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 20:33 11M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 20:33 764K 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 20:33 1.1M 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 20:33 1.6M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 20:33 533K 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 20:33 1.1M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 20:33 17M 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 20:33 5.5M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 20:33 53K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 20:33 1.2M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 20:33 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 20:33 69K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 20:33 1.3M 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 20:33 17K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 20:33 3.7K 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 20:33 2.4K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 20:33 6.7M 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 20:33 31K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 20:33 6.3K 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 20:33 3.1K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 20:33 4.7K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 20:33 3.3K 
[   ]octoprint-filecheck-2024.11.12-r0.apk2025-07-12 15:35 28K 
[   ]octoprint-filecheck-pyc-2024.11.12-r0.apk2025-07-12 15:35 12K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 20:33 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 20:33 18K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 20:33 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 20:33 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]oil-0.21.0-r0.apk2024-10-25 20:33 1.5M 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 20:33 7.0K 
[   ]oils-for-unix-0.31.0-r0.apk2025-06-23 05:24 696K 
[   ]oils-for-unix-bash-0.31.0-r0.apk2025-06-23 05:24 1.5K 
[   ]oils-for-unix-binsh-0.31.0-r0.apk2025-06-23 05:24 1.5K 
[   ]oils-for-unix-doc-0.31.0-r0.apk2025-06-23 05:24 7.0K 
[   ]ol-2.6-r0.apk2025-05-01 06:21 1.0M 
[   ]ol-dev-2.6-r0.apk2025-05-01 06:21 16K 
[   ]ol-doc-2.6-r0.apk2025-05-01 06:21 2.6K 
[   ]olab-0.1.8-r0.apk2024-10-25 20:33 4.1M 
[   ]olsrd-0.9.8-r3.apk2024-10-25 20:33 171K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 20:33 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 20:33 1.9K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 20:33 184K 
[   ]opcr-policy-0.3.0-r5.apk2025-07-10 19:45 9.0M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 20:33 4.2M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 20:33 9.9M 
[   ]opendht-3.1.11-r0.apk2025-01-27 20:56 191K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 20:56 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 20:56 3.0K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 20:56 602K 
[   ]openfire-4.8.1-r1.apk2024-12-03 14:37 46M 
[   ]openfire-doc-4.8.1-r1.apk2024-12-03 14:37 3.8M 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-03 14:37 1.9K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-03 14:37 72K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 21:41 42K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 21:41 6.3K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 20:33 1.9M 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-14 15:28 1.5K 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-14 15:28 5.2M 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-14 15:28 186K 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-14 15:28 6.6M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-14 15:28 72M 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-14 15:28 967K 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-14 15:28 59M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-14 15:28 46M 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-14 15:28 16M 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-14 15:28 1.5K 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-14 15:28 5.2M 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-14 15:28 187K 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-14 15:28 6.7M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-14 15:28 76M 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-14 15:28 968K 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-14 15:28 64M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-14 15:28 46M 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-14 15:28 16M 
[   ]openjdk24-24.0.1_p9-r0.apk2025-06-09 08:30 1.5K 
[   ]openjdk24-demos-24.0.1_p9-r0.apk2025-06-09 08:30 5.3M 
[   ]openjdk24-doc-24.0.1_p9-r0.apk2025-06-09 08:30 32M 
[   ]openjdk24-jdk-24.0.1_p9-r0.apk2025-06-09 08:30 7.1M 
[   ]openjdk24-jmods-24.0.1_p9-r0.apk2025-06-09 08:30 76M 
[   ]openjdk24-jre-24.0.1_p9-r0.apk2025-06-09 08:30 956K 
[   ]openjdk24-jre-headless-24.0.1_p9-r0.apk2025-06-09 08:30 72M 
[   ]openjdk24-src-24.0.1_p9-r0.apk2025-06-09 08:30 46M 
[   ]openjdk24-static-libs-24.0.1_p9-r0.apk2025-06-09 08:30 17M 
[   ]openocd-esp32-0_git20250422-r1.apk2025-07-05 19:52 2.0M 
[   ]openocd-esp32-dev-0_git20250422-r1.apk2025-07-05 19:52 3.7K 
[   ]openocd-esp32-doc-0_git20250422-r1.apk2025-07-05 19:52 3.2K 
[   ]openocd-esp32-udev-rules-0_git20250422-r1.apk2025-07-05 19:52 3.5K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 20:34 1.7M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 20:34 1.5K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 20:34 4.1M 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 20:34 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 20:34 3.3K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 20:34 3.4K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 20:34 1.6M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 20:34 3.7K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 20:34 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 20:34 3.3K 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.3M 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.2K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 20:34 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 20:34 18K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 20:34 102K 
[   ]openslide-3.4.1-r3.apk2024-10-25 20:34 84K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 20:34 6.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 20:34 4.9K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 20:34 10K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 20:34 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 20:34 9.4K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 20:34 2.0K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 07:03 50K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 07:03 56K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 07:03 2.4K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 07:03 346K 
[   ]opkg-0.7.0-r0.apk2024-10-25 20:34 10K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 20:34 112K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 20:34 7.7K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 20:34 84K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 20:34 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 20:34 3.6K 
[   ]opmsg-1.84-r1.apk2024-10-25 20:34 259K 
[   ]orage-4.20.1-r0.apk2025-04-08 11:49 586K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:49 1.2M 
[   ]osmctools-0.9-r0.apk2024-10-25 20:34 128K 
[   ]ostui-1.0.3-r2.apk2025-05-12 04:04 4.8M 
[   ]ostui-doc-1.0.3-r2.apk2025-05-12 04:04 28K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 20:34 102K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 20:34 48K 
[   ]otpclient-4.0.2-r1.apk2025-06-12 13:21 121K 
[   ]otpclient-doc-4.0.2-r1.apk2025-06-12 13:21 3.6K 
[   ]otree-0.4.0-r0.apk2025-07-11 08:46 1.2M 
[   ]otree-doc-0.4.0-r0.apk2025-07-11 08:46 3.3K 
[   ]otrs-6.0.48-r2.apk2024-10-25 20:34 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 20:34 4.0K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 20:34 2.4K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 20:34 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 20:34 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 20:34 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 20:34 1.8K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 20:34 1.9K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 20:34 107K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:37 1.8M 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:37 2.5K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:37 3.8K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:37 3.0K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:37 3.1K 
[   ]ovn-24.03.1-r0.apk2024-10-25 20:34 7.5M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 20:34 26M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 20:34 11M 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 20:34 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 20:34 4.2K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 12:10 51K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 12:10 64K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 12:47 31K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 12:47 38K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 07:44 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 07:44 6.6K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 13:31 10K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 13:31 7.2K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 20:34 46K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 20:34 3.9K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 20:34 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 20:34 6.5K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 20:34 32M 
[   ]p0f-3.09b-r3.apk2024-10-25 20:34 85K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 20:34 25K 
[   ]p910nd-0.97-r2.apk2024-10-25 20:34 7.7K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 20:34 3.0K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 20:34 1.8K 
[   ]pacoloco-1.7-r3.apk2025-07-10 19:45 4.9M 
[   ]pacoloco-doc-1.7-r3.apk2025-07-10 19:45 2.2K 
[   ]pacoloco-openrc-1.7-r3.apk2025-07-10 19:45 1.9K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 20:34 792K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 20:34 3.6K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 20:34 18K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 20:34 24K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 20:34 24K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 19:03 269K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 19:03 14K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 20:34 8.8K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 20:34 9.3K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 20:34 2.9K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 20:34 45K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 20:34 6.4K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 20:34 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 20:34 1.7K 
[   ]paperkey-1.6-r2.apk2024-10-25 20:34 16K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 20:34 4.5K 
[   ]paprefs-1.2-r2.apk2024-11-22 23:40 31K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 23:40 38K 
[   ]par-1.53.0-r1.apk2024-10-25 20:34 15K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 20:34 30K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-08 11:56 182K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-08 11:56 5.9K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 20:34 229K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 20:34 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 20:34 49K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:17 585K 
[   ]pash-2.3.0-r2.apk2024-10-25 20:34 4.3K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 20:34 8.4K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 20:34 7.5K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 20:34 48K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 20:34 3.3K 
[   ]pathvector-6.3.2-r14.apk2025-07-10 19:45 4.0M 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 20:34 4.7K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 20:34 24K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 19:04 67K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 19:04 41K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 19:04 3.7K 
[   ]peervpn-0.044-r5.apk2024-10-25 20:34 41K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 20:34 1.8K 
[   ]peg-0.1.18-r1.apk2024-10-25 20:34 35K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 20:34 14K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 20:34 1.3M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 20:34 16K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 20:34 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 20:34 298K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 20:34 8.1K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 20:34 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 20:34 9.6K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 20:34 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 20:34 5.7K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 20:34 5.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 20:34 6.1K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 20:34 4.6K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 20:34 79K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 20:34 148K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-06-30 07:03 13K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-06-30 07:03 5.1K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 20:34 5.7K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 20:34 5.7K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:40 22K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:40 53K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 07:03 638K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 07:03 4.8K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 20:34 5.1K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 20:34 4.0K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 20:34 5.2K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 20:34 5.4K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 20:34 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 20:34 10K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-11 08:46 4.1K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-11 08:46 3.7K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 20:34 16K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 20:34 6.8K 
[   ]perl-array-diff-0.09-r0.apk2025-07-10 19:45 3.3K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-10 19:45 3.9K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:35 4.3K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:35 5.0K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 07:03 19K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 07:03 8.9K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-06-30 07:03 6.7K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-06-30 07:03 3.8K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 07:03 19K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 07:03 9.5K 
[   ]perl-badger-0.16-r0.apk2025-06-15 14:50 253K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 14:50 260K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 07:03 33K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 07:03 13K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-06-30 07:03 6.1K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-06-30 07:03 3.2K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 20:34 3.9K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 20:34 3.6K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-06-30 07:03 18K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-06-30 07:03 7.9K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 20:34 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 20:34 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 20:34 3.0K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 20:34 3.2K 
[   ]perl-cairo-1.109-r5.apk2025-06-30 07:03 84K 
[   ]perl-cairo-doc-1.109-r5.apk2025-06-30 07:03 14K 
[   ]perl-cairo-gobject-1.005-r5.apk2025-06-30 07:03 6.6K 
[   ]perl-cairo-gobject-doc-1.005-r5.apk2025-06-30 07:03 3.0K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-15 01:17 9.0K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-15 01:17 7.8K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-26 17:12 6.0K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-26 17:12 5.9K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-19 14:53 3.8K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-19 14:53 4.0K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-03-31 14:53 25K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-03-31 14:53 27K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 07:38 4.3K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 07:38 5.7K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 05:38 8.6K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 05:38 6.8K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:36 13K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:36 14K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 10:55 2.7K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 10:55 3.3K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 05:39 5.0K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 05:39 4.4K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-20 16:50 54K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-20 16:50 11K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 05:22 2.7K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 05:22 375K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-15 01:17 6.5K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-15 01:17 12K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:36 19K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:36 18K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-03-29 08:34 32K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-03-29 08:34 56K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-20 16:50 5.4K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-20 16:50 10K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 08:55 4.1K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 08:55 13K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:57 14K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:57 25K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:18 5.9K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:18 6.4K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:18 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:18 4.5K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:46 3.6K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:46 4.0K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:46 4.7K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:46 3.9K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-20 16:50 8.7K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-20 16:50 7.4K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 13:13 150K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 13:13 216K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 08:55 9.1K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 08:55 11K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-15 01:17 14K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-15 01:17 13K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 07:38 4.3K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 07:38 4.0K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 06:30 3.4K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 06:30 3.6K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:46 3.4K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:46 3.5K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:46 3.1K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:46 4.5K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 15:07 3.3K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 15:07 3.6K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 07:38 11K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 07:38 24K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 20:34 6.9K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 20:34 6.2K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-19 13:13 56K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-19 13:13 43K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-19 13:13 7.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-19 13:13 6.8K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-06-30 07:03 6.1K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-06-30 07:03 3.6K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 20:34 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 20:34 7.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 20:34 9.5K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-19 13:13 5.1K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-19 13:13 4.7K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 20:34 5.5K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 20:34 5.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 20:34 9.3K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 20:34 4.0K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 20:34 4.1K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 17:22 2.6K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 17:22 3.1K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]perl-clipboard-0.32-r0.apk2025-05-18 15:45 10K 
[   ]perl-clipboard-doc-0.32-r0.apk2025-05-18 15:45 27K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 20:34 7.3K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 20:34 5.2K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 13:13 9.5K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 13:13 7.4K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 07:03 26K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 07:03 5.5K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 20:34 7.4K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 20:34 6.9K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 20:34 8.8K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 20:34 7.0K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 20:34 3.9K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 20:34 4.2K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 20:34 14K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 20:34 18K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 07:03 12K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 07:03 4.1K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 20:34 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 20:34 8.8K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 20:34 7.0K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 20:34 6.4K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 11:51 16K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 11:51 9.4K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 20:34 23K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 20:34 33K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 20:34 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 20:34 8.3K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 20:34 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 20:34 15K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 20:34 4.9K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 20:34 5.4K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 20:34 9.7K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 20:34 8.5K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 20:34 5.5K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 20:34 4.2K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]perl-data-binary-0.01-r0.apk2025-07-10 19:45 2.8K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-10 19:45 3.1K 
[   ]perl-data-checks-0.10-r1.apk2025-06-30 07:03 23K 
[   ]perl-data-checks-doc-0.10-r1.apk2025-06-30 07:03 8.3K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 07:03 10K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 07:03 4.5K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 07:03 51K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 07:03 17K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 17:02 6.4K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 17:02 5.6K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 20:34 5.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 20:34 5.5K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 20:34 8.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 20:34 5.9K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-19 14:53 9.8K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-19 14:53 8.3K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 20:34 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 20:34 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 20:34 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 20:34 9.3K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 16:54 3.8K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 16:54 4.0K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:08 3.2K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:08 3.8K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:26 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:26 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:08 4.4K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:08 4.1K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 20:34 2.5K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 20:34 7.6K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 20:34 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 20:34 15K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 20:34 5.9K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 20:34 5.3K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:26 355K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 05:59 7.9K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 05:59 9.5K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 16:27 3.2K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 16:27 3.0K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:26 421K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 04:06 48K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 04:06 121K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 16:27 97K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 16:27 77K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 20:34 4.3K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 20:34 7.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 20:34 8.1K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 20:34 8.5K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 20:34 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 20:34 6.7K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 16:40 4.7K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 16:40 3.8K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 07:03 7.0K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 07:03 3.3K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 07:03 397K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 07:03 51K 
[   ]perl-devel-refcount-0.10-r2.apk2025-06-30 07:03 6.1K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-06-30 07:03 4.3K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 14:50 28K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 14:50 60K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-26 10:21 3.7K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-26 10:21 3.4K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-06 19:08 3.4K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-06 19:08 3.5K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 20:34 5.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 20:34 5.2K 
[   ]perl-digest-crc-0.24-r2.apk2025-06-30 07:03 9.8K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-06-30 07:03 3.3K 
[   ]perl-dns-unbound-0.29-r2.apk2025-06-30 07:03 24K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-06-30 07:03 2.3K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-06-30 07:03 1.9K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-06-30 07:03 15K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-06-30 07:03 2.4K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-06-30 07:03 2.7K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 20:34 7.6K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 20:34 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 20:34 4.0K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 20:34 3.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 20:34 6.1K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 20:34 4.8K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 08:55 25K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 08:55 42K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 07:03 74K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 07:03 4.8K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-06-30 07:03 13K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-06-30 07:03 4.2K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:01 32K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:01 20K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.5K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.9K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 20:34 175K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 20:34 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 20:34 21K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 20:34 3.9K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 20:34 3.7K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 20:34 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 20:34 29K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-06-30 07:03 189K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-06-30 07:03 148K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 20:34 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 20:34 5.2K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-20 16:50 12K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-20 16:50 14K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-11 16:50 9.2K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-11 16:50 13K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 07:03 30K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 07:03 4.3K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 20:34 7.5K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 20:34 12K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-11 08:46 4.0K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-11 08:46 4.4K 
[   ]perl-finance-quote-1.66-r0.apk2025-07-12 13:43 106K 
[   ]perl-finance-quote-doc-1.66-r0.apk2025-07-12 13:43 88K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 07:03 22K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 07:03 3.3K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 20:34 9.8K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 20:34 5.7K 
[   ]perl-full-1.004-r0.apk2024-10-25 20:34 7.1K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 20:34 10K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-06-30 07:03 8.4K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-06-30 07:03 3.3K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 20:34 9.2K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 20:34 16K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 20:34 9.6K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 20:34 9.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 20:34 4.1K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 20:34 4.3K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 20:34 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 20:34 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 09:40 15K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 09:40 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 20:34 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 20:34 17K 
[   ]perl-git-raw-0.90-r4.apk2025-06-30 07:03 183K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-06-30 07:03 119K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 20:34 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 20:34 32K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 20:34 5.4K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 20:34 4.9K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 20:34 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 20:34 22K 
[   ]perl-glib-object-introspection-0.051-r2.apk2025-06-30 07:03 63K 
[   ]perl-glib-object-introspection-doc-0.051-r2.apk2025-06-30 07:03 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 20:34 7.2K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 20:34 7.8K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 20:34 14K 
[   ]perl-gtk2-1.24993-r7.apk2025-06-30 07:03 947K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-06-30 07:03 679K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 20:34 13K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 20:34 7.3K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 20:34 66K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 20:34 81K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 20:34 20K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 20:34 9.2K 
[   ]perl-guard-1.023-r10.apk2025-06-30 07:03 8.7K 
[   ]perl-guard-doc-1.023-r10.apk2025-06-30 07:03 5.3K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:18 3.1K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:18 3.4K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 20:34 9.8K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 20:34 19K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 06:30 135K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 06:30 323K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 07:03 15K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 07:03 5.4K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 20:34 348K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 20:34 472K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 11:51 14K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 11:51 10K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 20:34 6.2K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 20:34 4.1K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 20:34 18K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 20:34 9.9K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-10 19:45 7.8K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-10 19:45 4.2K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:17 18K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:17 40K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 20:34 3.1K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 20:34 3.5K 
[   ]perl-http-xsheaders-0.400005-r2.apk2025-06-30 07:03 20K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-06-30 07:03 6.4K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 20:34 4.2K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 20:34 4.1K 
[   ]perl-imager-1.028-r1.apk2025-06-30 07:03 555K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 07:03 286K 
[   ]perl-indirect-0.39-r2.apk2025-06-30 07:03 16K 
[   ]perl-indirect-doc-0.39-r2.apk2025-06-30 07:03 6.4K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:35 11K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:35 9.8K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 20:34 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 20:34 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 20:34 5.8K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 20:34 3.1K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 20:34 3.6K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 13:13 58K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 13:13 34K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-26 08:13 7.5K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-26 08:13 7.6K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 20:34 3.9K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 20:34 4.0K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 07:03 103K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 07:03 57K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 07:03 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:40 3.3K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:40 3.5K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:40 3.2K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:40 3.6K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:06 5.3K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:06 3.9K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:40 3.1K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:40 3.4K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:40 546K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:40 4.5K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:55 4.6K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:55 3.5K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.4K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.3K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:40 12K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:40 34K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 6.1K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.9K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.2K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 4.1K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.7K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:40 4.3K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:40 3.0K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 07:03 4.8K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 07:03 3.1K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 20:34 10K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 20:34 12K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-06-30 07:03 13K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-06-30 07:03 8.1K 
[   ]perl-list-keywords-0.11-r1.apk2025-06-30 07:03 16K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-06-30 07:03 5.6K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 20:34 11K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 20:34 7.3K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 20:34 11K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 20:34 12K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 20:34 4.2K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 20:34 4.0K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 20:34 6.2K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 20:34 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 20:34 6.4K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 20:34 5.7K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 20:34 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 20:34 33K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 07:03 28K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 07:03 11K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 07:03 11K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 07:03 3.2K 
[   ]perl-math-random-0.72-r1.apk2025-06-30 07:03 37K 
[   ]perl-math-random-doc-0.72-r1.apk2025-06-30 07:03 11K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-06-30 07:03 8.0K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-06-30 07:03 3.8K 
[   ]perl-mce-1.901-r0.apk2025-01-03 04:20 134K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 04:20 170K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:40 6.2K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:40 3.8K 
[   ]perl-minion-10.31-r0.apk2024-10-25 20:34 1.5M 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 20:34 9.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 20:34 11K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 20:34 6.6K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 20:34 10K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 20:34 6.8K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 20:34 49K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 20:34 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 20:34 3.8K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-12 13:43 27K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-12 13:43 28K 
[   ]perl-module-generic-0.43.3-r0.apk2025-04-23 04:31 272K 
[   ]perl-module-generic-doc-0.43.3-r0.apk2025-04-23 04:31 214K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:40 4.7K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:40 5.8K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 20:34 4.7K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 20:34 4.4K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 20:34 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 20:34 25K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 20:34 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 20:34 19K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 13:13 28K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 13:13 33K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-19 13:13 4.1K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-19 13:13 4.2K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-19 13:13 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-19 13:13 5.3K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-19 13:13 14K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-19 13:13 25K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 17:22 5.1K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 17:22 5.2K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 13:13 8.6K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 13:13 22K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 10:55 8.2K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 10:55 9.4K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 17:33 6.0K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 17:33 5.5K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 06:30 2.8K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 06:30 3.3K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-19 13:13 7.7K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-19 13:13 24K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-19 13:13 3.8K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-19 13:13 4.2K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 06:30 4.9K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 06:30 4.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 16:21 3.2K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 16:21 3.7K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-19 13:13 4.0K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-19 13:13 4.1K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-19 13:13 3.0K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-19 13:13 3.6K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:05 3.6K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:05 3.2K 
[   ]perl-multidimensional-0.014-r1.apk2025-06-30 07:03 4.9K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-06-30 07:03 3.2K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 07:03 9.6K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 07:03 4.4K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 20:34 3.4K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 20:34 3.5K 
[   ]perl-net-amqp-rabbitmq-2.40012-r1.apk2025-06-30 07:03 82K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r1.apk2025-06-30 07:03 9.7K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 07:03 9.5K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 07:03 5.4K 
[   ]perl-net-curl-0.57-r1.apk2025-06-30 07:03 66K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-06-30 07:03 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 20:34 8.9K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 20:34 2.7K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 20:34 12K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 20:34 3.0K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 20:34 3.1K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-06-30 07:03 84K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-06-30 07:03 22K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 20:34 5.5K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 20:34 5.2K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 20:34 51K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 20:34 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 20:34 7.6K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 20:34 48K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 14K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.6K 
[   ]perl-net-patricia-1.22-r13.apk2025-06-30 07:03 21K 
[   ]perl-net-patricia-doc-1.22-r13.apk2025-06-30 07:03 6.1K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 20:34 58K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 20:34 44K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 20:34 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 20:34 8.0K 
[   ]perl-nice-try-1.3.16-r0.apk2025-05-01 05:50 28K 
[   ]perl-nice-try-doc-1.3.16-r0.apk2025-05-01 05:50 12K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 20:34 15K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 20:34 9.0K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 20:34 5.2K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 20:34 4.4K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 20:34 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 20:34 26K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 20:34 5.7K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 20:34 7.0K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-06-30 07:03 8.5K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-06-30 07:03 4.5K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:57 3.7K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:57 5.4K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 20:34 8.7K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 20:34 7.4K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 20:34 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 20:34 33K 
[   ]perl-pango-1.227-r12.apk2025-06-30 07:03 86K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 07:03 82K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-11 08:46 5.5K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-11 08:46 4.4K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:05 14K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:05 38K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 20:34 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 20:34 5.2K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 07:03 4.6K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 07:03 3.1K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 20:34 3.9K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 20:34 3.3K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 13:13 3.6K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 13:13 4.0K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.5K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 20:34 3.2K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 20:34 3.1K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 13:13 2.8K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 13:13 3.1K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 20:34 4.6K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 20:34 4.9K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 20:34 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 20:34 11K 
[   ]perl-ppi-xs-0.910-r2.apk2025-06-30 07:03 5.9K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-06-30 07:03 3.4K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-12 13:43 41K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-12 13:43 49K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 20:34 3.7K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 20:34 3.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 20:34 11K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 20:34 2.5K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 20:34 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 20:34 2.3K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 20:34 3.0K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 20:34 2.6K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 20:34 26K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 20:34 12K 
[   ]perl-promise-xs-0.20-r2.apk2025-06-30 07:03 25K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-06-30 07:03 8.8K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 20:34 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 20:34 38K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 20:34 5.6K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 20:34 5.0K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 20:34 3.4K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 20:34 3.3K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-06-30 07:03 9.8K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-06-30 07:03 3.5K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-12 07:22 3.0K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-12 07:22 3.3K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 20:34 3.7K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 20:34 4.0K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 20:34 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 20:34 2.7K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 20:34 9.0K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 20:34 23K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 20:34 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 20:34 9.0K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 20:34 2.8K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 20:34 9.1K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 20:34 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 20:34 7.5K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 20:34 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 20:34 35K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-06-30 07:03 5.4K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-06-30 07:03 3.4K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 20:34 8.8K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 20:34 7.4K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:40 7.8K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:40 6.8K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 6.0K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.8K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-06-30 07:03 7.8K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-06-30 07:03 4.6K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 17:02 12K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 17:02 8.5K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 07:03 73K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 07:03 14K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 05:59 333K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 05:59 379K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:40 5.3K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:40 3.9K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:40 5.2K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:40 3.9K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 20:34 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 20:34 90K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 16:53 107K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 16:53 50K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 20:34 8.7K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 20:34 5.5K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 20:34 3.7K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 20:34 4.2K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 20:34 30K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 20:34 20K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 06:37 27K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 06:37 17K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 20:34 13K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 20:34 10K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 20:34 9.2K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 20:34 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 20:34 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 20:34 38K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 20:34 6.7K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 20:34 6.9K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 20:34 3.2K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 20:34 3.5K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-06-30 07:03 7.3K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-06-30 07:03 5.3K 
[   ]perl-string-crc32-2.100-r5.apk2025-06-30 07:03 7.2K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-06-30 07:03 3.4K 
[   ]perl-string-escape-2010.002-r0.apk2025-03-28 16:13 8.9K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-03-28 16:13 7.8K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 20:34 7.9K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 20:34 6.2K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:06 5.4K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:06 5.6K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 07:03 14K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 07:03 7.8K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 07:03 8.0K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 07:03 6.6K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-06-30 07:03 9.8K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-06-30 07:03 5.9K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 20:34 5.3K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 20:34 3.7K 
[   ]perl-sys-virt-11.1.0-r1.apk2025-06-30 07:03 216K 
[   ]perl-sys-virt-doc-11.1.0-r1.apk2025-06-30 07:03 100K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 20:34 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 20:34 10K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:46 3.0K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:46 3.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 20:34 2.7K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 20:34 3.0K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 20:34 4.9K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 20:34 4.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-15 01:17 3.4K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-15 01:17 3.7K 
[   ]perl-template-tiny-1.15-r0.apk2025-06-17 04:29 5.5K 
[   ]perl-template-tiny-doc-1.15-r0.apk2025-06-17 04:29 5.0K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 07:03 5.8K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 07:03 3.8K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 20:34 10K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 20:34 8.5K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 20:34 5.1K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 20:34 4.3K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 20:34 5.9K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 20:34 5.4K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 20:34 3.6K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 20:34 4.2K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 20:34 7.8K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 20:34 6.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 20:34 7.1K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 20:34 20K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 17:35 3.5K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 17:35 3.6K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:53 11K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:53 6.8K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 20:34 6.7K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 20:34 15K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-12 13:43 6.4K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-12 13:43 6.9K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 20:34 9.8K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 20:34 8.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 20:34 6.4K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 20:34 5.3K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 20:34 15K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 20:34 9.9K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-11 16:51 6.8K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-11 16:51 6.4K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 20:34 3.5K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 20:34 3.7K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 20:34 5.0K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 20:34 4.1K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 20:34 4.8K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 20:34 4.4K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 20:34 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 20:34 15K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 20:34 5.0K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 20:34 6.0K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 20:34 8.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 20:34 8.4K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 20:34 9.9K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 20:34 6.2K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 20:34 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 20:34 20K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 20:34 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 20:34 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 20:34 3.8K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 20:34 3.9K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 15:08 5.6K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 15:08 4.9K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-15 01:17 15K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:32 7.4K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:32 6.2K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-15 01:17 10K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 20:34 3.8K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 20:34 4.4K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 20:34 4.5K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 20:34 4.1K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 3.0K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 13:13 4.5K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 13:13 3.5K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 20:34 8.1K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 20:34 6.7K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 20:34 5.4K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 20:34 5.2K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 16:26 10K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 16:26 14K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 20:34 6.2K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 20:34 8.0K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 20:34 3.9K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 20:34 3.4K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 20:34 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 20:34 3.9K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 20:34 4.7K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 20:34 4.2K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 20:34 7.2K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 20:34 6.9K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 20:34 8.0K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 20:34 6.5K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 13:13 2.6K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 13:13 3.2K 
[   ]perl-time-moment-0.44-r1.apk2025-06-30 07:03 45K 
[   ]perl-time-moment-doc-0.44-r1.apk2025-06-30 07:03 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 20:34 2.7K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 20:34 3.4K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 20:34 3.6K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 20:34 4.0K 
[   ]perl-time-timegm-0.01-r10.apk2025-06-30 07:03 6.8K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-06-30 07:03 3.9K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 13:13 20K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 13:13 46K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 20:34 4.0K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 20:34 4.1K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:27 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:27 8.4K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 20:34 7.1K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 20:34 7.5K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:58 14K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:58 9.1K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 20:34 4.0K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 20:34 3.9K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 20:34 3.2K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 20:34 4.6K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 20:34 2.7K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 20:34 5.0K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 13:13 2.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 13:13 4.3K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 20:34 5.2K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 20:34 4.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 20:34 3.3K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 20:34 5.7K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:17 20K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:17 29K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-11 16:50 7.5K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-11 16:50 8.1K 
[   ]perl-www-noss-1.04-r0.apk2025-07-04 17:18 39K 
[   ]perl-www-noss-doc-1.04-r0.apk2025-07-04 17:18 40K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 20:34 6.9K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 20:34 7.6K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 20:34 20K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 20:34 16K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 07:03 28K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 07:03 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 20:34 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 20:34 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 20:34 5.0K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 20:34 5.4K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 20:34 5.7K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 20:34 4.9K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 20:34 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 20:34 18K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 16:54 22K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 16:54 11K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-14 15:28 46K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-14 15:28 18K 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-14 15:28 3.1K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 20:34 1.3M 
[   ]pfetch-1.9.0-r0.apk2025-04-10 13:14 23K 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 13:14 5.7K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 20:34 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 20:34 1.8K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 20:34 287K 
[   ]php81-8.1.33-r0.apk2025-07-02 22:13 2.0M 
[   ]php81-apache2-8.1.33-r0.apk2025-07-02 22:13 1.9M 
[   ]php81-bcmath-8.1.33-r0.apk2025-07-02 22:13 19K 
[   ]php81-bz2-8.1.33-r0.apk2025-07-02 22:13 10K 
[   ]php81-calendar-8.1.33-r0.apk2025-07-02 22:13 15K 
[   ]php81-cgi-8.1.33-r0.apk2025-07-02 22:13 1.9M 
[   ]php81-common-8.1.33-r0.apk2025-07-02 22:13 25K 
[   ]php81-ctype-8.1.33-r0.apk2025-07-02 22:13 4.9K 
[   ]php81-curl-8.1.33-r0.apk2025-07-02 22:13 35K 
[   ]php81-dba-8.1.33-r0.apk2025-07-02 22:13 23K 
[   ]php81-dev-8.1.33-r0.apk2025-07-02 22:13 939K 
[   ]php81-doc-8.1.33-r0.apk2025-07-02 22:13 68K 
[   ]php81-dom-8.1.33-r0.apk2025-07-02 22:13 63K 
[   ]php81-embed-8.1.33-r0.apk2025-07-02 22:13 1.9M 
[   ]php81-enchant-8.1.33-r0.apk2025-07-02 22:13 8.4K 
[   ]php81-exif-8.1.33-r0.apk2025-07-02 22:13 35K 
[   ]php81-ffi-8.1.33-r0.apk2025-07-02 22:13 71K 
[   ]php81-fileinfo-8.1.33-r0.apk2025-07-02 22:13 387K 
[   ]php81-fpm-8.1.33-r0.apk2025-07-02 22:13 2.0M 
[   ]php81-ftp-8.1.33-r0.apk2025-07-02 22:13 25K 
[   ]php81-gd-8.1.33-r0.apk2025-07-02 22:13 135K 
[   ]php81-gettext-8.1.33-r0.apk2025-07-02 22:13 5.7K 
[   ]php81-gmp-8.1.33-r0.apk2025-07-02 22:13 27K 
[   ]php81-iconv-8.1.33-r0.apk2025-07-02 22:13 20K 
[   ]php81-imap-8.1.33-r0.apk2025-07-02 22:13 33K 
[   ]php81-intl-8.1.33-r0.apk2025-07-02 22:13 146K 
[   ]php81-ldap-8.1.33-r0.apk2025-07-02 22:13 32K 
[   ]php81-litespeed-8.1.33-r0.apk2025-07-02 22:13 1.9M 
[   ]php81-mbstring-8.1.33-r0.apk2025-07-02 22:13 593K 
[   ]php81-mysqli-8.1.33-r0.apk2025-07-02 22:13 42K 
[   ]php81-mysqlnd-8.1.33-r0.apk2025-07-02 22:13 80K 
[   ]php81-odbc-8.1.33-r0.apk2025-07-02 22:13 26K 
[   ]php81-opcache-8.1.33-r0.apk2025-07-02 22:13 84K 
[   ]php81-openssl-8.1.33-r0.apk2025-07-02 22:13 75K 
[   ]php81-pcntl-8.1.33-r0.apk2025-07-02 22:13 13K 
[   ]php81-pdo-8.1.33-r0.apk2025-07-02 22:13 43K 
[   ]php81-pdo_dblib-8.1.33-r0.apk2025-07-02 22:13 12K 
[   ]php81-pdo_mysql-8.1.33-r0.apk2025-07-02 22:13 13K 
[   ]php81-pdo_odbc-8.1.33-r0.apk2025-07-02 22:13 14K 
[   ]php81-pdo_pgsql-8.1.33-r0.apk2025-07-02 22:13 21K 
[   ]php81-pdo_sqlite-8.1.33-r0.apk2025-07-02 22:13 14K 
[   ]php81-pear-8.1.33-r0.apk2025-07-02 22:13 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 20:34 59K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 20:34 57K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 20:34 21K 
[   ]php81-pecl-brotli-0.18.0-r0.apk2025-06-17 19:45 16K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 10K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 20:34 20K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-07 13:16 66K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 20:34 55K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 20:34 34K 
[   ]php81-pecl-imagick-3.8.0-r0.apk2025-04-10 20:03 123K 
[   ]php81-pecl-imagick-dev-3.8.0-r0.apk2025-04-10 20:03 2.3K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:34 41K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:34 11K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 20:34 32K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 20:34 7.7K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 20:34 25K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-07 11:53 8.4K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 20:34 16K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 20:34 45K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 20:34 49K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 14K 
[   ]php81-pecl-mongodb-2.1.1-r0.apk2025-06-14 22:53 861K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 20:34 27K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 20:34 37K 
[   ]php81-pecl-opentelemetry-1.2.0-r0.apk2025-07-12 13:10 13K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 10K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 18:38 156K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 20:34 19K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:52 40K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 10:58 212K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:02 22K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 20:34 30K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 18:30 941K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 18:30 213K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:06 194K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 20:34 6.8K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 20:34 9.9K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-12 23:18 6.8K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-10-25 20:34 18K 
[   ]php81-pecl-xdebug-3.4.4-r0.apk2025-06-14 22:57 152K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 20:34 13K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 20:34 801K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:47 233K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 20:34 38K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 20:34 19K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 16:57 65K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 14:44 15K 
[   ]php81-pgsql-8.1.33-r0.apk2025-07-02 22:13 48K 
[   ]php81-phar-8.1.33-r0.apk2025-07-02 22:13 119K 
[   ]php81-phpdbg-8.1.33-r0.apk2025-07-02 22:13 2.0M 
[   ]php81-posix-8.1.33-r0.apk2025-07-02 22:13 11K 
[   ]php81-pspell-8.1.33-r0.apk2025-07-02 22:13 7.9K 
[   ]php81-session-8.1.33-r0.apk2025-07-02 22:13 38K 
[   ]php81-shmop-8.1.33-r0.apk2025-07-02 22:13 6.0K 
[   ]php81-simplexml-8.1.33-r0.apk2025-07-02 22:13 23K 
[   ]php81-snmp-8.1.33-r0.apk2025-07-02 22:13 21K 
[   ]php81-soap-8.1.33-r0.apk2025-07-02 22:13 138K 
[   ]php81-sockets-8.1.33-r0.apk2025-07-02 22:13 38K 
[   ]php81-sodium-8.1.33-r0.apk2025-07-02 22:13 29K 
[   ]php81-sqlite3-8.1.33-r0.apk2025-07-02 22:13 21K 
[   ]php81-sysvmsg-8.1.33-r0.apk2025-07-02 22:13 7.6K 
[   ]php81-sysvsem-8.1.33-r0.apk2025-07-02 22:13 5.5K 
[   ]php81-sysvshm-8.1.33-r0.apk2025-07-02 22:13 6.6K 
[   ]php81-tidy-8.1.33-r0.apk2025-07-02 22:13 19K 
[   ]php81-tokenizer-8.1.33-r0.apk2025-07-02 22:13 12K 
[   ]php81-xml-8.1.33-r0.apk2025-07-02 22:13 19K 
[   ]php81-xmlreader-8.1.33-r0.apk2025-07-02 22:13 14K 
[   ]php81-xmlwriter-8.1.33-r0.apk2025-07-02 22:13 13K 
[   ]php81-xsl-8.1.33-r0.apk2025-07-02 22:13 13K 
[   ]php81-zip-8.1.33-r0.apk2025-07-02 22:13 26K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 20:34 4.6K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 22K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:34 41K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:34 11K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 20:34 37K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 20:34 32K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 20:34 135K 
[   ]php82-pecl-vld-0.19.0-r0.apk2025-07-01 23:11 17K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 16:57 65K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 18:19 5.3K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 20:34 4.6K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 20:34 32K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 20:34 45K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 22K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:34 11K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 20:34 37K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-12 21:26 45K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 20:34 55K 
[   ]php83-pecl-vld-0.19.0-r0.apk2025-07-01 23:11 17K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 20:34 33K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 10K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 20:34 45K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 15K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 20:34 37K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-12 21:26 45K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 04:31 95K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 55K 
[   ]php84-pecl-vld-0.19.0-r0.apk2025-07-01 23:11 18K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 18:19 5.3K 
[   ]php85-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.9M 
[   ]php85-apache2-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.8M 
[   ]php85-bcmath-8.5.0_alpha1-r0.apk2025-07-02 17:08 31K 
[   ]php85-bz2-8.5.0_alpha1-r0.apk2025-07-02 17:08 11K 
[   ]php85-calendar-8.5.0_alpha1-r0.apk2025-07-02 17:08 15K 
[   ]php85-cgi-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.8M 
[   ]php85-common-8.5.0_alpha1-r0.apk2025-07-02 17:08 24K 
[   ]php85-ctype-8.5.0_alpha1-r0.apk2025-07-02 17:08 4.8K 
[   ]php85-curl-8.5.0_alpha1-r0.apk2025-07-02 17:08 42K 
[   ]php85-dba-8.5.0_alpha1-r0.apk2025-07-02 17:08 25K 
[   ]php85-dbg-8.5.0_alpha1-r0.apk2025-07-02 17:08 56M 
[   ]php85-dev-8.5.0_alpha1-r0.apk2025-07-02 17:08 1.1M 
[   ]php85-doc-8.5.0_alpha1-r0.apk2025-07-02 17:08 48K 
[   ]php85-dom-8.5.0_alpha1-r0.apk2025-07-02 17:08 149K 
[   ]php85-embed-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.8M 
[   ]php85-enchant-8.5.0_alpha1-r0.apk2025-07-02 17:08 9.5K 
[   ]php85-exif-8.5.0_alpha1-r0.apk2025-07-02 17:08 35K 
[   ]php85-ffi-8.5.0_alpha1-r0.apk2025-07-02 17:08 74K 
[   ]php85-fileinfo-8.5.0_alpha1-r0.apk2025-07-02 17:08 508K 
[   ]php85-fpm-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.9M 
[   ]php85-ftp-8.5.0_alpha1-r0.apk2025-07-02 17:08 28K 
[   ]php85-gd-8.5.0_alpha1-r0.apk2025-07-02 17:08 138K 
[   ]php85-gettext-8.5.0_alpha1-r0.apk2025-07-02 17:08 6.7K 
[   ]php85-gmp-8.5.0_alpha1-r0.apk2025-07-02 17:08 25K 
[   ]php85-iconv-8.5.0_alpha1-r0.apk2025-07-02 17:08 20K 
[   ]php85-intl-8.5.0_alpha1-r0.apk2025-07-02 17:08 185K 
[   ]php85-ldap-8.5.0_alpha1-r0.apk2025-07-02 17:08 34K 
[   ]php85-litespeed-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.8M 
[   ]php85-mbstring-8.5.0_alpha1-r0.apk2025-07-02 17:08 668K 
[   ]php85-mysqli-8.5.0_alpha1-r0.apk2025-07-02 17:08 45K 
[   ]php85-mysqlnd-8.5.0_alpha1-r0.apk2025-07-02 17:08 78K 
[   ]php85-odbc-8.5.0_alpha1-r0.apk2025-07-02 17:08 30K 
[   ]php85-opcache-8.5.0_alpha1-r0.apk2025-07-02 17:08 92K 
[   ]php85-openssl-8.5.0_alpha1-r0.apk2025-07-02 17:08 80K 
[   ]php85-pcntl-8.5.0_alpha1-r0.apk2025-07-02 17:08 18K 
[   ]php85-pdo-8.5.0_alpha1-r0.apk2025-07-02 17:08 46K 
[   ]php85-pdo_dblib-8.5.0_alpha1-r0.apk2025-07-02 17:08 13K 
[   ]php85-pdo_mysql-8.5.0_alpha1-r0.apk2025-07-02 17:08 15K 
[   ]php85-pdo_odbc-8.5.0_alpha1-r0.apk2025-07-02 17:08 16K 
[   ]php85-pdo_pgsql-8.5.0_alpha1-r0.apk2025-07-02 17:08 27K 
[   ]php85-pdo_sqlite-8.5.0_alpha1-r0.apk2025-07-02 17:08 19K 
[   ]php85-pear-8.5.0_alpha1-r0.apk2025-07-02 17:08 338K 
[   ]php85-pecl-apcu-5.1.24-r0.apk2025-07-02 18:59 57K 
[   ]php85-pecl-brotli-0.18.0-r0.apk2025-07-02 18:59 16K 
[   ]php85-pecl-igbinary-3.2.16-r0.apk2025-07-02 18:59 34K 
[   ]php85-pecl-uploadprogress-2.0.2-r0.apk2025-07-02 18:59 6.8K 
[   ]php85-pecl-uploadprogress-doc-2.0.2-r0.apk2025-07-02 18:59 9.6K 
[   ]php85-pecl-xdebug-3.4.4-r0.apk2025-07-02 18:59 152K 
[   ]php85-pecl-xhprof-2.3.10-r0.apk2025-07-02 18:59 13K 
[   ]php85-pecl-xhprof-assets-2.3.10-r0.apk2025-07-02 18:59 807K 
[   ]php85-pecl-yaml-2.2.4-r0.apk2025-07-02 18:59 19K 
[   ]php85-pgsql-8.5.0_alpha1-r0.apk2025-07-02 17:08 61K 
[   ]php85-phar-8.5.0_alpha1-r0.apk2025-07-02 17:08 120K 
[   ]php85-phpdbg-8.5.0_alpha1-r0.apk2025-07-02 17:08 2.9M 
[   ]php85-posix-8.5.0_alpha1-r0.apk2025-07-02 17:08 12K 
[   ]php85-session-8.5.0_alpha1-r0.apk2025-07-02 17:08 41K 
[   ]php85-shmop-8.5.0_alpha1-r0.apk2025-07-02 17:08 6.3K 
[   ]php85-simplexml-8.5.0_alpha1-r0.apk2025-07-02 17:08 23K 
[   ]php85-snmp-8.5.0_alpha1-r0.apk2025-07-02 17:08 23K 
[   ]php85-soap-8.5.0_alpha1-r0.apk2025-07-02 17:08 145K 
[   ]php85-sockets-8.5.0_alpha1-r0.apk2025-07-02 17:08 43K 
[   ]php85-sodium-8.5.0_alpha1-r0.apk2025-07-02 17:08 31K 
[   ]php85-sqlite3-8.5.0_alpha1-r0.apk2025-07-02 17:08 24K 
[   ]php85-sysvmsg-8.5.0_alpha1-r0.apk2025-07-02 17:08 7.8K 
[   ]php85-sysvsem-8.5.0_alpha1-r0.apk2025-07-02 17:08 5.5K 
[   ]php85-sysvshm-8.5.0_alpha1-r0.apk2025-07-02 17:08 6.6K 
[   ]php85-tidy-8.5.0_alpha1-r0.apk2025-07-02 17:08 20K 
[   ]php85-tokenizer-8.5.0_alpha1-r0.apk2025-07-02 17:08 12K 
[   ]php85-xml-8.5.0_alpha1-r0.apk2025-07-02 17:08 25K 
[   ]php85-xmlreader-8.5.0_alpha1-r0.apk2025-07-02 17:08 16K 
[   ]php85-xmlwriter-8.5.0_alpha1-r0.apk2025-07-02 17:08 14K 
[   ]php85-xsl-8.5.0_alpha1-r0.apk2025-07-02 17:08 15K 
[   ]php85-zip-8.5.0_alpha1-r0.apk2025-07-02 17:08 31K 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-30 17:20 3.5M 
[   ]pick-4.0.0-r0.apk2024-10-25 20:34 10K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 20:34 3.3K 
[   ]piglit-0_git20241106-r1.apk2025-05-12 21:26 90M 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:05 5.8M 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:05 2.2K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:05 3.8K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:05 1.8K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 20:34 87K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 20:34 53K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 20:34 20K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 20:34 1.9K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 20:34 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 20:34 1.6K 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 00:15 9.5K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 20:34 5.9K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 20:34 3.0K 
[   ]pithos-1.6.1-r0.apk2024-10-25 20:34 104K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 20:34 2.1K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 20:34 154K 
[   ]pixiewps-1.4.2-r2.apk2025-05-12 21:26 63K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-12 21:26 3.4K 
[   ]planarity-4.0.0.0-r0.apk2025-03-19 13:13 23K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-19 13:13 23K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-19 13:13 13K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-19 13:13 84K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:41 361K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:41 2.2K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:41 825K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 20:34 263K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 20:34 552K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 56K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 43K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 47K 
[   ]plib-1.8.5-r3.apk2024-10-25 20:34 868K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:42 516K 
[   ]plplot-5.15.0-r2.apk2024-10-25 20:34 31K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 20:34 59K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 20:34 311K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 20:34 203K 
[   ]pmccabe-2.8-r1.apk2024-10-25 20:34 24K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 20:34 7.1K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 20:34 143K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 20:34 2.3K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 20:34 25K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 20:34 9.7K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 20:34 3.0K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 20:34 57K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 20:34 2.4K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 20:34 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 20:34 105K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 20:34 67K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 20:34 48K 
[   ]pomo-0.8.1-r24.apk2025-07-10 19:45 1.7M 
[   ]pomo-doc-0.8.1-r24.apk2025-07-10 19:45 2.7K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 20:34 2.4K 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 07:55 13M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 07:55 1.3M 
[   ]popeye-0.22.1-r5.apk2025-07-10 19:45 28M 
[   ]porla-0.41.0-r2.apk2025-02-24 14:34 3.5M 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 14:34 2.2K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 14:34 2.7K 
[   ]portsmf-239-r1.apk2024-10-25 20:34 57K 
[   ]portsmf-dev-239-r1.apk2024-10-25 20:34 20K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 20:34 28K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 20:34 58K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 20:34 23K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 20:34 72K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 20:34 314K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 20:34 71K 
[   ]pounce-3.1-r3.apk2024-10-25 20:34 31K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 20:34 8.6K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 20:34 2.9K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 20:34 848K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 20:34 19K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 20:34 2.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 20:34 4.3K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 07:03 34K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 07:03 7.2K 
[   ]pqiv-2.12-r1.apk2024-10-25 20:34 70K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 20:34 12K 
[   ]predict-2.3.1-r0.apk2024-11-23 18:56 99K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 18:56 16K 
[   ]primecount-7.17-r0.apk2025-05-16 14:32 31K 
[   ]primecount-dev-7.17-r0.apk2025-05-16 14:32 2.1M 
[   ]primecount-doc-7.17-r0.apk2025-05-16 14:32 3.9K 
[   ]primecount-libs-7.17-r0.apk2025-05-16 14:32 173K 
[   ]primesieve-12.8-r0.apk2025-04-29 21:50 45K 
[   ]primesieve-dev-12.8-r0.apk2025-04-29 21:50 1.4M 
[   ]primesieve-doc-12.8-r0.apk2025-04-29 21:50 4.1K 
[   ]primesieve-libs-12.8-r0.apk2025-04-29 21:50 118K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 20:34 1.3M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 20:34 3.3K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 20:34 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 20:34 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 20:34 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 20:34 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 20:34 748K 
[   ]projectm-3.1.12-r2.apk2024-10-25 20:34 461K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 20:34 625K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 20:34 4.3M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 20:34 436K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 20:34 2.0K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 20:34 340K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 20:34 5.0K 
[   ]prometheus-ipmi-exporter-1.8.0-r5.apk2025-05-12 04:04 4.4M 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r5.apk2025-05-12 04:04 6.6K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r5.apk2025-05-12 04:04 1.9K 
[   ]prometheus-opnsense-exporter-0.0.8-r1.apk2025-07-10 19:45 4.9M 
[   ]prometheus-opnsense-exporter-openrc-0.0.8-r1.apk2025-07-10 19:45 2.1K 
[   ]prometheus-podman-exporter-1.17.2-r0.apk2025-07-12 11:30 16M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r29.apk2025-07-10 19:45 4.2M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r29.apk2025-07-10 19:45 1.7K 
[   ]prometheus-smartctl-exporter-0.14.0-r1.apk2025-07-10 19:45 4.7M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r1.apk2025-07-10 19:45 1.9K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-12 04:04 3.7M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-12 04:04 2.0K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 20:34 2.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 20:34 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 20:34 2.6K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 20:34 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 20:34 3.1K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 20:34 1.9K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 20:34 2.0K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:34 7.2K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 20:34 3.3K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 20:34 2.8K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 20:34 2.9K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 20:34 2.0K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 20:34 1.8K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 20:34 2.2K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 20:34 5.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 20:34 5.6K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:34 6.9K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 20:34 3.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 20:34 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 20:34 2.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 20:34 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 20:34 1.7K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 20:34 2.0K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 20:34 1.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 20:34 2.8K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 20:34 8.6K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 20:34 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 20:34 2.0K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 20:34 2.7K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 20:34 2.6K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 20:34 1.5K 
[   ]protoc-gen-go-1.36.6-r1.apk2025-07-10 19:45 2.3M 
[   ]protoconf-0.1.7-r14.apk2025-07-10 19:45 7.8M 
[   ]psftools-1.1.2-r0.apk2024-10-25 20:34 285K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 20:34 84K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 20:34 60K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 20:34 10K 
[   ]pspp-2.0.1-r0.apk2024-10-25 20:34 10M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 20:34 4.4M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 20:34 9.0K 
[   ]psst-0_git20240526-r1.apk2024-10-25 20:34 8.2M 
[   ]ptpd-2.3.1-r1.apk2024-10-25 20:34 175K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 20:34 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 20:34 2.4K 
[   ]ptylie-0.2-r2.apk2025-05-12 21:26 12K 
[   ]ptylie-doc-0.2-r2.apk2025-05-12 21:26 3.2K 
[   ]pully-1.0.0-r0.apk2024-10-25 20:34 2.5K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 20:34 1.7K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 13:21 1.4M 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 13:21 64K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 20:34 1.0M 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 20:34 3.7K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 20:34 78K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 20:34 246K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 20:34 3.8K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 20:34 6.8K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 20:34 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 20:34 2.9K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 20:34 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 20:34 21K 
[   ]py3-aesedb-0.1.6-r3.apk2025-05-29 11:59 36K 
[   ]py3-aesedb-pyc-0.1.6-r3.apk2025-05-29 11:59 75K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 13:13 19K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 13:13 21K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 20:34 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 20:34 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 20:34 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 20:34 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 20:34 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 20:34 9.2K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 20:34 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 20:34 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 20:34 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 20:34 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 20:34 30K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 20:34 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 20:34 24K 
[   ]py3-aiosmb-0.4.11-r1.apk2025-05-29 11:59 597K 
[   ]py3-aiosmb-pyc-0.4.11-r1.apk2025-05-29 11:59 1.1M 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 11:59 22K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 11:59 45K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 20:34 388K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 20:34 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 20:34 673K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 20:34 3.6K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 20:34 3.3K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 20:34 21K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 20:34 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 20:34 18K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 20:34 22K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 20:34 275K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 20:34 3.3K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 20:34 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 20:34 179K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 20:34 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 20:34 77K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 06:47 844K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 06:47 526K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 13:21 96K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 20:34 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 20:34 26K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 20:34 5.0K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 20:34 4.5K 
[   ]py3-astral-3.2-r3.apk2024-10-25 20:34 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 20:34 59K 
[   ]py3-asyauth-0.0.21-r1.apk2025-05-29 11:59 77K 
[   ]py3-asyauth-pyc-0.0.21-r1.apk2025-05-29 11:59 169K 
[   ]py3-async-lru-2.0.5-r0.apk2025-03-19 13:13 7.0K 
[   ]py3-async-lru-pyc-2.0.5-r0.apk2025-03-19 13:13 8.5K 
[   ]py3-asysocks-0.2.13-r1.apk2025-05-29 11:59 84K 
[   ]py3-asysocks-pyc-0.2.13-r1.apk2025-05-29 11:59 229K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 20:34 98K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 20:34 191K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-03 09:48 215K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-03 09:48 403K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 20:34 6.9K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 20:34 7.2K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 20:34 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 20:34 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 20:34 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 20:34 4.3K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 20:34 11K 
[   ]py3-beartype-0.21.0-r0.apk2025-05-25 13:46 926K 
[   ]py3-beartype-pyc-0.21.0-r0.apk2025-05-25 13:46 673K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 20:34 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 20:34 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 11:58 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 11:58 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 20:34 28K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 20:34 29K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 20:34 36K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 20:34 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 20:34 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 20:34 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 20:34 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 12:29 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 12:29 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 12:29 2.5M 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 20:34 43K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 20:34 67K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 20:34 4.9K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 20:34 5.2K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 20:34 4.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 20:34 3.3K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 20:34 3.1K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 20:34 4.0K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 20:34 3.7K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 20:34 3.2K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 20:34 2.6K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 20:34 6.1K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 20:34 5.2K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 20:34 10K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 20:34 7.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 20:34 4.8K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 20:34 5.6K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 20:34 4.7K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 20:34 5.2K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 20:34 4.6K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 20:34 3.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 20:34 4.1K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 20:34 4.3K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 20:34 12K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 20:34 19K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 20:34 11K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 20:34 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 20:34 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 20:34 54K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 14:20 88K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 14:20 118K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 20:34 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 20:34 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 20:34 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 20:34 103K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 20:34 127K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 20:34 3.0K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 20:42 106K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 20:42 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 20:34 8.7K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 20:34 9.1K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 09:16 97K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 09:16 131K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 20:34 17K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 19:18 4.5K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 19:18 5.2K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 20:34 6.1K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 20:34 8.7K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 20:34 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 20:34 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 20:34 5.1K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 20:34 4.5K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 20:34 6.3K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 20:34 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 20:34 7.9K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 20:34 9.8K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 20:34 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 20:34 223K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 20:34 17K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 20:34 12K 
[   ]py3-colander-2.0-r2.apk2024-10-25 20:34 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 20:34 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 20:34 7.3K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 20:34 10K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 20:34 8.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 20:34 7.5K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 20:34 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 20:34 3.0K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 20:34 40K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 09:44 190K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 09:44 227K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 20:34 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 20:34 3.7K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 20:34 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 20:34 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 20:34 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 20:34 12K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 20:34 4.7K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 20:34 48K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 20:34 15K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-08 11:05 220K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-08 11:05 1.9K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 20:34 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 20:34 279K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:14 8.7K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:14 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 20:34 696K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 20:34 936K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 20:34 18K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 20:34 1.8K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-15 01:17 16K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 20:34 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 20:34 36K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 20:34 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 20:34 14K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-06 19:46 216K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-06 19:46 335K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 20:34 4.4K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 20:34 4.2K 
[   ]py3-dbus-fast-2.44.1-r0.apk2025-05-02 05:27 883K 
[   ]py3-dbus-fast-doc-2.44.1-r0.apk2025-05-02 05:27 6.2K 
[   ]py3-dbus-fast-pyc-2.44.1-r0.apk2025-05-02 05:27 129K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 20:34 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 20:34 2.3K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 20:34 20K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 20:34 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 20:34 37K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 20:34 24K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 20:34 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 20:34 47K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 20:34 49K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 20:34 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 20:34 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 20:34 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 20:34 32K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 20:34 4.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 20:34 5.0K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 20:34 52K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 20:34 109K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 18:13 53K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 18:13 90K 
[   ]py3-doi-0.2-r0.apk2025-04-15 01:17 6.2K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-15 01:17 4.7K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 20:34 77K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 20:34 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 20:34 25K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 20:34 34K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 20:34 8.4K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 20:34 8.7K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 18:13 11K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 18:13 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 20:34 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 20:34 18K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 20:34 4.1M 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 20:34 97K 
[   ]py3-dunamai-1.24.1-r0.apk2025-06-29 22:32 27K 
[   ]py3-dunamai-pyc-1.24.1-r0.apk2025-06-29 22:32 43K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 20:34 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 20:34 9.1K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 20:34 6.3K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-15 01:17 13K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 20:34 28K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 20:34 3.6K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 20:34 123K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 20:34 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 20:34 15K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 20:34 2.0K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 20:34 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 20:34 58K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-12 15:35 23K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-12 15:35 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 20:34 7.6K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 20:34 2.5K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 20:34 8.3K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 20:34 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 20:34 33K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 21:41 332K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 21:41 336K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 20:34 19K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 20:34 27K 
[   ]py3-fastavro-1.11.1-r0.apk2025-05-19 09:14 444K 
[   ]py3-fastavro-pyc-1.11.1-r0.apk2025-05-19 09:14 82K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 20:34 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 20:34 4.2K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 20:34 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 20:34 62K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 20:34 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 20:34 27K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 18:13 24K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 18:13 33K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 20:34 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 20:34 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 20:34 5.2K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 20:34 2.6K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 20:34 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 20:34 3.3K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 20:34 6.2K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 20:34 6.0K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 20:34 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 20:34 17K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 20:34 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 20:34 5.4K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 20:34 7.1K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 20:34 5.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 20:34 6.7K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 20:34 4.4K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 20:34 5.3K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 20:34 3.7K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 20:34 3.6K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 20:34 2.2K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-12 15:28 5.6K 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-12 15:28 3.7K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 20:34 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 20:34 358K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 20:34 5.1K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 20:34 4.9K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 20:34 5.3K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 20:34 4.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 20:34 7.1K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 20:34 5.8K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-12 21:26 449K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-12 21:26 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 20:34 13K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 20:34 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 20:34 4.8K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 20:34 4.1K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 20:34 3.9K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 20:34 3.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 20:34 86K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 20:34 6.3K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 22:58 11K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 22:58 14K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 20:34 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 20:34 2.8K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 20:34 3.2K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 20:34 2.5K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 20:34 8.0K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 20:34 11K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 20:34 4.1K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 20:34 3.4K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 17:09 27K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 17:09 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 20:34 5.6K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 20:34 7.9K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 20:34 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 20:34 26K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 20:34 5.6K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 20:34 3.9K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 20:34 13K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 20:34 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 20:34 8.2K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 20:34 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 20:34 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 20:34 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 06:32 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 06:32 6.2K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 20:34 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 20:34 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 20:34 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 20:34 59K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-21 21:40 295K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-21 21:40 227K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 20:34 7.9K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 20:34 7.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 20:34 9.0K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 20:34 9.7K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 20:34 40K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 20:34 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 20:34 161K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 20:34 21K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 20:34 32K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 20:34 23K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 20:34 20K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 20:34 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 20:34 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 20:34 14K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 20:34 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 20:34 227K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 20:34 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 20:34 13K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 20:34 47K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 20:34 84K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 20:34 9.2K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 20:34 11K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 20:34 15K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 20:34 17K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 18:13 6.7K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 18:13 5.8K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 20:32 45K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 20:32 30K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 20:34 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 20:34 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 20:34 25K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 20:34 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 20:34 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 20:34 13K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 20:34 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 20:34 28K 
[   ]py3-hfst-3.16.2-r0.apk2025-03-28 16:13 387K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 20:34 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 20:34 107K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 19:52 390K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 19:52 12K 
[   ]py3-hishel-0.1.3-r0.apk2025-07-08 23:07 33K 
[   ]py3-hishel-pyc-0.1.3-r0.apk2025-07-08 23:07 73K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 20:34 184K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 20:34 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 20:34 4.6K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 20:34 3.3K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 20:34 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 20:34 20K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 20:34 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 20:34 243K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 20:34 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 20:34 20K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 20:34 4.4K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 20:34 3.7K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 20:34 19K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 20:34 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 20:34 25K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 20:34 9.4K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 20:34 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:52 12K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:52 4.6K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 20:34 41K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 20:34 71K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 11:58 43K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 11:58 67K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 20:34 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 20:34 9.7K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 20:34 13K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 20:34 17K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 20:34 6.0K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 20:34 5.3K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 18:13 9.7K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 18:13 15K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:12 5.3K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:12 6.1K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 20:34 7.6K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 20:34 9.5K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:50 6.7K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:50 8.1K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-09 09:02 10K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-09 09:02 16K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 20:34 5.9K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 20:34 6.1K 
[   ]py3-joserfc-1.2.1-r0.apk2025-07-10 19:45 55K 
[   ]py3-joserfc-pyc-1.2.1-r0.apk2025-07-10 19:45 108K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 20:49 25K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 20:49 29K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 20:34 8.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 20:34 9.3K 
[   ]py3-jupyterlab3-3.6.7-r0.apk2025-03-19 13:13 14M 
[   ]py3-jupyterlab_server-2.27.3-r0.apk2025-03-19 13:13 124K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 20:34 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 20:34 245K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 20:34 9.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 20:34 2.0K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 20:34 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 20:34 18K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 20:34 8.4K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 20:34 9.5K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 20:34 174K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 20:34 110K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 20:34 72K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 20:34 35K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 20:34 16K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 20:34 9.5K 
[   ]py3-libguestfs-1.52.0-r3.apk2025-06-13 14:01 196K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 20:34 13K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:41 30K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:41 50K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 20:34 28K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 20:34 33K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 20:34 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 20:34 30K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:39 12K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:39 18K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 20:34 38K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 20:34 25K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 18:44 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 18:44 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 20:34 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 20:34 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:19 14K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:19 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 20:34 1.6K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 20:34 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 20:34 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 20:34 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 20:34 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 20:34 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 20:34 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 20:34 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 20:34 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 20:34 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 20:34 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 20:34 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 20:34 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 20:34 7.6K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 20:34 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 20:34 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 20:34 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 20:34 713K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 20:34 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 20:34 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 20:34 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 20:34 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 20:34 112K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 20:34 4.2K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 20:34 3.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 20:34 22K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 20:34 4.1K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 20:34 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 20:34 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 20:34 4.0K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 20:34 2.6K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 20:34 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 20:34 51K 
[   ]py3-lxmf-0.7.1-r0.apk2025-05-27 21:33 51K 
[   ]py3-lxmf-pyc-0.7.1-r0.apk2025-05-27 21:33 109K 
[   ]py3-ly-0.9.9-r0.apk2025-07-12 21:56 187K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-12 21:56 8.1K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-12 21:56 352K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 20:34 17K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 20:34 2.0K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 20:34 213K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 20:34 123K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 20:34 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 20:34 4.2K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 20:34 36K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 20:14 39K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 20:14 26K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 20:34 62K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 13:12 144K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 20:34 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 20:34 75K 
[   ]py3-markdownify-1.1.0-r0.apk2025-04-17 23:03 15K 
[   ]py3-markdownify-pyc-1.1.0-r0.apk2025-04-17 23:03 17K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 22:39 48K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 20:34 5.3K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 20:34 4.5K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 22:39 85K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 11:59 1.0M 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 11:59 27K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 20:34 143K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 20:34 296K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:04 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:04 41K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 18:50 10K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 18:50 23K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 11:59 64K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 11:59 129K 
[   ]py3-minikerberos-0.4.6-r1.apk2025-05-29 11:59 128K 
[   ]py3-minikerberos-pyc-0.4.6-r1.apk2025-05-29 11:59 268K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 18:44 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 18:44 160K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 13:13 45K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 13:13 93K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 20:34 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 20:34 2.4K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 20:34 9.7K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 20:34 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 20:34 48K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 17:58 783K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 17:58 2.6K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 20:34 25K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 20:34 37K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:12 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:12 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 20:34 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 20:34 74K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 20:34 25K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 20:34 36K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 20:34 7.4K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 20:34 8.2K 
[   ]py3-msldap-0.5.15-r1.apk2025-05-29 11:59 143K 
[   ]py3-msldap-pyc-0.5.15-r1.apk2025-05-29 11:59 330K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 12:57 51K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 20:34 9.4K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 20:34 9.9K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 20:34 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 20:34 107K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 20:34 224K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 20:34 9.2K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-13 07:33 179K 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-13 07:33 348K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 20:34 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 20:34 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 20:34 9.4K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 20:34 9.9K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 20:34 7.6K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 20:34 5.7K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 20:34 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 20:34 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 20:34 7.4K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 20:34 8.6K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 20:34 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 20:34 78K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 20:34 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 20:34 243K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 20:34 7.6K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 20:34 12K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 20:56 160K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 20:34 8.2K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 20:34 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 20:34 498K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 20:34 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 20:34 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 20:34 17K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 20:34 117K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 20:34 49K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 20:34 77K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 20:34 10K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 20:34 8.2K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 20:34 46K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 20:34 91K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-25 05:14 50K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-25 05:14 89K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 20:34 103K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 20:34 164K 
[   ]py3-ovos-config-1.2.2-r0.apk2025-06-01 16:49 46K 
[   ]py3-ovos-config-pyc-1.2.2-r0.apk2025-06-01 16:49 35K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 20:34 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 20:34 437K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 20:34 9.0K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 20:34 4.8K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 20:34 550K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 20:34 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 20:34 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 20:34 104K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 13:31 8.6K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 13:31 5.0K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 20:34 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 20:34 4.4K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:31 95K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:31 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:31 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:31 9.7K 
[   ]py3-ovos-phal-plugin-system-1.3.2-r0.apk2025-04-08 07:44 11K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk2025-04-08 07:44 10K 
[   ]py3-ovos-plugin-manager-0.9.0-r0.apk2025-06-01 16:49 94K 
[   ]py3-ovos-plugin-manager-pyc-0.9.0-r0.apk2025-06-01 16:49 181K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 20:34 10K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 20:34 6.8K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 20:34 8.3K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 20:34 4.1K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 20:34 9.5K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 20:34 5.4K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 12:47 69K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 12:47 124K 
[   ]py3-ovos-workshop-3.4.0-r0.apk2025-05-26 12:10 92K 
[   ]py3-ovos-workshop-pyc-3.4.0-r0.apk2025-05-26 12:10 166K 
[   ]py3-owslib-0.34.0-r0.apk2025-06-08 16:55 195K 
[   ]py3-owslib-pyc-0.34.0-r0.apk2025-06-08 16:55 424K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 20:34 427K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 20:34 4.0K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 20:34 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 20:34 12K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 20:34 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 20:34 13K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-12 15:21 19K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-12 15:21 34K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 20:34 6.3K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 20:34 7.1K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:14 181K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:14 13K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 20:34 234K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 20:34 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 20:34 9.8K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 20:34 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 20:34 8.9K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 20:34 11K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 20:34 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 20:34 2.2K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 20:34 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 20:34 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 20:34 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 20:34 7.5K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 20:34 5.4K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 20:34 143K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 20:34 246K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 20:34 46K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 20:34 36K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 20:34 7.0K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 20:34 4.7K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 20:34 6.9K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 20:34 8.5K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:11 20M 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:31 19K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:31 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 20:34 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 20:34 25K 
[   ]py3-poetry-dynamic-versioning-1.9.0-r0.apk2025-07-05 22:57 21K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.0-r0.apk2025-07-05 22:57 26K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 18:50 144K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 20:52 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 20:52 17K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 20:34 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 20:34 16K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 20:34 8.9K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 20:34 2.6K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 20:34 5.0K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 20:34 7.4K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 20:34 9.9K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:42 9.3K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:42 12K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 20:34 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 20:34 38K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 20:34 20K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 20:34 11K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 20:34 52K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 20:34 92K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 20:34 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 20:34 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 20:34 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 20:34 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 20:34 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 20:34 5.5K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 20:34 3.7K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 20:34 48K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 20:34 11K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 20:34 3.6K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 20:34 13K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 20:34 8.1K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 20:34 11K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 20:34 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 20:34 13K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:42 1.3M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 20:34 39K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 20:34 5.1K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 20:34 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 20:34 10K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 20:34 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 20:34 502K 
[   ]py3-pyinstrument-5.0.3-r0.apk2025-07-02 17:17 109K 
[   ]py3-pyinstrument-pyc-5.0.3-r0.apk2025-07-02 17:17 100K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 20:34 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 20:34 9.4K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 20:34 17K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 20:34 8.9K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 20:34 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 20:34 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 20:34 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 20:34 32K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 20:34 22K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 20:34 29K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 20:34 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 20:34 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 20:34 17K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 20:34 32K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 20:34 9.2K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 20:34 9.8K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-14 15:28 12K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-14 15:28 6.5K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:36 276K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 20:34 82K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 20:34 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 20:34 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 20:34 2.1K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 20:34 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 20:34 37K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 20:34 4.3K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 20:34 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 20:34 9.6K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 20:34 18K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 20:34 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 20:34 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 20:34 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 20:34 14K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 20:34 44K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 20:34 78K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 22:36 35K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 22:36 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 20:34 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 20:34 63K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 18:13 25K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 18:13 23K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 20:34 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 20:34 97K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 19:32 42K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 19:32 2.9K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 20:34 7.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 20:34 2.8K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 20:34 6.0K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-12 21:26 30K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-12 21:26 39K 
[   ]py3-pytest-datadir-1.7.2-r0.apk2025-07-12 21:58 6.8K 
[   ]py3-pytest-datadir-pyc-1.7.2-r0.apk2025-07-12 21:58 5.0K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 20:34 5.9K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 20:34 7.0K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 20:34 4.5K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 20:34 2.8K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 20:34 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 20:34 22K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 20:34 10K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 20:34 7.9K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-02-14 15:28 16K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-02-14 15:28 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 20:34 20K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 20:34 25K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 20:34 7.4K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 20:34 9.3K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 20:34 39K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 20:34 68K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:23 8.1K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:23 6.5K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 20:34 8.6K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 20:34 2.3K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 20:34 8.4K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 20:34 806K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 20:34 293K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 20:34 40K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 20:34 54K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 20:34 37K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 20:34 103K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 20:34 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 20:34 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 20:34 180K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 20:34 33K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 20:34 25K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 20:34 8.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 20:34 7.8K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 20:34 13K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 20:34 25K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 20:34 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 20:34 15K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 20:34 32K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 20:34 5.1K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 20:34 50K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 20:34 12K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 20:34 18K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-14 18:10 39K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-14 18:10 50K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 20:34 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 20:34 54K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 17:45 24K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 17:45 23K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 20:34 5.5K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 20:34 6.6K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 20:34 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 20:34 12K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 20:34 31K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 20:34 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 20:34 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 20:34 11K 
[   ]py3-rns-0.9.6-r0.apk2025-05-27 21:33 344K 
[   ]py3-rns-pyc-0.9.6-r0.apk2025-05-27 21:33 704K 
[   ]py3-rofi-1.0.1-r0.apk2025-06-10 19:07 12K 
[   ]py3-rofi-pyc-1.0.1-r0.apk2025-06-10 19:07 12K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 20:34 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 20:34 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 20:34 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 20:34 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 20:34 37K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 20:34 16K 
[   ]py3-rst-0.1-r9.apk2024-10-25 20:34 5.6K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 20:34 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 20:34 6.1K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 20:34 6.6K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 20:34 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 20:34 2.2K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 20:34 24K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 16:04 19K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 16:04 21K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 20:34 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 20:34 74K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 20:34 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 20:34 482K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 20:34 119K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 20:34 4.9K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 02:59 20K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 02:59 32K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 20:34 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:34 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 20:34 5.4K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 20:34 6.0K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 19:39 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 19:39 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 20:34 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 20:34 7.2K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 20:34 79K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 18:13 12K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 18:13 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 20:34 8.0K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 20:34 5.8K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 20:34 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 20:34 157K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 20:34 7.9K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 20:34 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 20:34 7.5K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 20:34 8.1K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-15 01:17 259K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-15 01:17 2.0K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 20:34 384K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 20:34 5.8K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 20:34 729K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 20:34 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 20:34 26K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 23:04 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 23:04 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 20:34 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 20:34 27K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 20:34 79K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 20:34 120K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 20:34 11K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 20:34 14K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 20:34 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 20:34 44K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 20:34 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 20:34 22K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-18 22:20 31K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-18 22:20 59K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 20:34 11K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 20:34 2.0K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 20:34 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 20:34 2.3K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 20:34 65K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 20:34 1.8K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 20:34 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 20:34 43K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 20:34 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 20:34 2.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 20:34 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 20:34 5.5K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 20:34 8.8K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 20:34 2.2K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 20:34 7.6K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 20:34 9.3K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 20:34 9.0K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 20:34 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 20:34 5.7K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 20:34 4.1K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 20:34 7.5K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 20:34 9.2K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 20:34 5.7K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 20:34 4.3K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 20:34 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 20:34 34K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 22:58 12K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 22:58 16K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 20:34 9.1K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 20:34 3.5K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 20:34 3.9K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 20:34 3.3K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 20:34 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 20:34 6.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 20:34 7.9K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 20:34 7.6K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 20:34 11K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 20:34 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 20:34 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 20:34 4.3K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 20:34 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 20:34 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 20:34 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 20:34 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 20:34 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 20:34 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 20:34 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 20:34 7.9K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 20:34 11K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 20:34 4.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 20:34 3.2K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-08 11:05 10K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-08 11:05 16K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 20:34 8.7K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 20:34 11K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-12 15:35 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-12 15:35 17K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-08 11:05 12K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-08 11:05 19K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 20:34 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 20:34 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 20:34 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 20:34 20K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 20:34 7.6K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:34 9.3K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-12 21:26 4.9K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-12 21:26 5.6K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 20:34 15K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 20:34 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 20:34 7.3K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 20:34 4.9K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 20:34 6.1K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 20:34 5.7K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 20:34 15K 
[   ]py3-spin-0.8-r0.apk2024-10-25 20:34 19K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 20:34 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 20:34 6.1K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 20:34 6.3K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:52 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:52 219K 
[   ]py3-spotipy-2.24.0-r2.apk2025-05-14 18:13 30K 
[   ]py3-spotipy-pyc-2.24.0-r2.apk2025-05-14 18:13 50K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:05 26K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:05 41K 
[   ]py3-sssd-2.11.0-r0.apk2025-06-06 08:56 61K 
[   ]py3-sssd-pyc-2.11.0-r0.apk2025-06-06 08:56 49K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 20:34 7.7K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 20:34 10K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 18:51 30K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 18:51 2.1K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 18:51 42K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 06:16 19K 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 06:16 24K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 20:34 2.5M 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 20:34 2.1K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 20:34 9.8K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 20:34 5.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 20:34 6.9K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 20:34 6.6K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 20:34 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 20:34 52K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-14 15:28 454K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-14 15:28 724K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 20:34 9.2K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 20:34 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 20:34 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 20:34 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 20:34 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 20:34 21K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 20:34 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 20:34 16K 
[   ]py3-textual-3.2.0-r0.apk2025-05-14 09:36 596K 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-14 09:36 1.2M 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 20:34 10K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 20:34 8.9K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 20:34 6.0K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 20:34 6.4K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-12 16:01 50K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-12 16:01 91K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 20:34 24K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 20:34 2.8K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 20:34 28K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 20:34 9.2K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 20:34 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 20:34 179K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 20:34 275K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 20:34 341K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 20:34 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 20:34 98K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 20:34 129K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 20:34 9.2K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 20:34 8.8K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 20:34 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 20:34 55K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-10 07:29 17K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-10 07:29 26K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 20:34 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 20:34 39K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 20:34 9.9K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 20:34 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 20:34 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 20:34 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 20:34 5.7K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 20:34 2.2K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 20:34 6.8K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 20:34 9.1K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 05:33 40K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 05:33 82K 
[   ]py3-unicrypto-0.0.10-r3.apk2025-05-29 11:59 59K 
[   ]py3-unicrypto-pyc-0.0.10-r3.apk2025-05-29 11:59 92K 
[   ]py3-unidns-0.0.1-r3.apk2025-05-29 11:59 13K 
[   ]py3-unidns-examples-0.0.1-r3.apk2025-05-29 11:59 2.6K 
[   ]py3-unidns-pyc-0.0.1-r3.apk2025-05-29 11:59 21K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 20:34 9.7K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 20:34 8.7K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 20:34 15K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 20:34 25K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 20:34 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 20:34 15K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 20:34 3.5K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 20:34 2.8K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 20:34 19K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 20:34 8.6K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 20:34 22K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 20:34 12K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 20:34 4.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 20:34 2.6K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 20:34 41K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 20:34 80K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 20:34 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 20:34 20K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 20:34 7.6K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 20:34 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:49 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:49 12K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 20:34 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 20:34 14K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 11:59 83K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 11:59 131K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 20:34 17K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 20:34 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 20:34 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 20:34 111K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 20:34 13K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 20:34 25K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:04 34K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:31 312K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-12 21:26 94K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-12 21:26 165K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 20:47 190K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 20:47 393K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 20:34 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 20:34 47K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 20:34 19K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 18:13 78K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 18:13 95K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:42 52K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:42 68K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 20:34 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 20:34 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 20:34 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 20:34 49K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 20:34 16K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 20:34 8.0K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 20:34 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 20:34 61K 
[   ]pyinfra-3.2-r0.apk2025-01-23 02:45 186K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-23 02:45 349K 
[   ]pympress-1.8.5-r1.apk2024-10-25 20:34 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 20:34 348K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 20:34 57K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 20:34 182K 
[   ]pyonji-0.1.0-r6.apk2025-07-10 19:45 2.9M 
[   ]pypy-7.3.12-r0.apk2024-10-25 20:34 21M 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 20:34 22M 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 20:34 78K 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 20:34 454K 
[   ]pypy3-7.3.12-r0.apk2024-10-25 20:34 20M 
[   ]pypy3-dev-7.3.12-r0.apk2024-10-25 20:34 565K 
[   ]pypy3-pyc-7.3.12-r0.apk2024-10-25 20:34 5.9M 
[   ]pypy3-tests-7.3.12-r0.apk2024-10-25 20:34 13M 
[   ]pypy3-tkinter-7.3.12-r0.apk2024-10-25 20:34 302K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 11:59 315K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 11:59 721K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 20:34 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 20:34 113K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 20:34 810K 
[   ]qadwaitadecorations-0.1.6-r0.apk2025-05-08 11:05 49K 
[   ]qbittorrent-cli-2.2.0-r1.apk2025-07-10 19:45 5.7M 
[   ]qdjango-0.6.2-r1.apk2024-10-25 20:34 106K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 20:34 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 20:34 533K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 20:34 1.1M 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 20:34 143K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 20:34 1.5K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 20:34 6.8K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 20:34 31K 
[   ]qpdfview-0.5-r2.apk2025-01-29 18:50 1.0M 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 18:50 4.2K 
[   ]qperf-0.4.11-r2.apk2025-05-12 21:26 34K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-12 21:26 5.6K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 20:34 174K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 20:34 54K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 20:34 62K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 20:34 945K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 03:04 460K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 03:04 11K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 20:34 454K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 20:34 4.4K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 20:34 14K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 20:34 3.9K 
[   ]qt5ct-1.8-r0.apk2024-10-25 20:34 234K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 20:34 1.5K 
[   ]qt6ct-0.9-r4.apk2025-07-09 07:57 199K 
[   ]qtile-0.30.0-r0.apk2025-02-10 19:58 436K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-10 19:58 837K 
[   ]qtmir-0.7.2_git20250407-r1.apk2025-06-28 17:26 549K 
[   ]qtmir-dev-0.7.2_git20250407-r1.apk2025-06-28 17:26 6.6K 
[   ]qtox-1.17.6-r6.apk2024-10-25 20:34 5.1M 
[   ]qtpass-1.4.0-r0.apk2024-10-25 20:34 431K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 20:34 2.1K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 20:34 497K 
[   ]queercat-1.0.0-r0.apk2024-10-25 20:34 7.7K 
[   ]quickjs-ng-0.10.1-r0.apk2025-05-16 17:13 91K 
[   ]quickjs-ng-dev-0.10.1-r0.apk2025-05-16 17:13 14K 
[   ]quickjs-ng-doc-0.10.1-r0.apk2025-05-16 17:13 6.3K 
[   ]quickjs-ng-libs-0.10.1-r0.apk2025-05-16 17:13 394K 
[   ]quodlibet-4.6.0-r2.apk2025-05-12 21:26 1.0M 
[   ]quodlibet-bash-completion-4.6.0-r2.apk2025-05-12 21:26 4.7K 
[   ]quodlibet-doc-4.6.0-r2.apk2025-05-12 21:26 8.7K 
[   ]quodlibet-lang-4.6.0-r2.apk2025-05-12 21:26 1.2M 
[   ]quodlibet-pyc-4.6.0-r2.apk2025-05-12 21:26 1.8M 
[   ]quodlibet-zsh-completion-4.6.0-r2.apk2025-05-12 21:26 2.7K 
[   ]raku-base64-0.1.0-r0.apk2025-06-07 07:42 20K 
[   ]raku-base64-doc-0.1.0-r0.apk2025-06-07 07:42 2.8K 
[   ]raku-cbor-simple-0.1.4-r0.apk2025-06-07 07:42 173K 
[   ]raku-cbor-simple-doc-0.1.4-r0.apk2025-06-07 07:42 7.0K 
[   ]raku-cro-core-0.8.10-r0.apk2025-06-07 07:42 776K 
[   ]raku-cro-core-doc-0.8.10-r0.apk2025-06-07 07:42 2.4K 
[   ]raku-cro-tls-0.8.10-r0.apk2025-06-07 07:42 41K 
[   ]raku-cro-tls-doc-0.8.10-r0.apk2025-06-07 07:42 2.2K 
[   ]raku-crypt-random-0.4.1-r0.apk2025-06-07 07:42 109K 
[   ]raku-crypt-random-doc-0.4.1-r0.apk2025-06-07 07:42 2.5K 
[   ]raku-datetime-parse-0.9.3-r0.apk2025-06-07 07:42 48K 
[   ]raku-datetime-parse-doc-0.9.3-r0.apk2025-06-07 07:42 3.0K 
[   ]raku-digest-sha1-native-0.06-r0.apk2025-06-08 16:40 47K 
[   ]raku-digest-sha1-native-doc-0.06-r0.apk2025-06-08 16:40 2.4K 
[   ]raku-docker-file-1.1-r0.apk2025-06-07 07:42 131K 
[   ]raku-docker-file-doc-1.1-r0.apk2025-06-07 07:42 2.5K 
[   ]raku-file-find-0.2.1-r0.apk2025-06-08 16:40 17K 
[   ]raku-file-find-doc-0.2.1-r0.apk2025-06-08 16:40 4.2K 
[   ]raku-file-ignore-1.2-r0.apk2025-06-08 16:40 46K 
[   ]raku-file-ignore-doc-1.2-r0.apk2025-06-08 16:40 4.2K 
[   ]raku-file-which-1.0.4-r0.apk2025-06-08 16:40 106K 
[   ]raku-file-which-doc-1.0.4-r0.apk2025-06-08 16:40 3.6K 
[   ]raku-http-hpack-1.0.3-r0.apk2025-06-07 07:42 88K 
[   ]raku-http-hpack-doc-1.0.3-r0.apk2025-06-07 07:42 3.2K 
[   ]raku-if-0.1.3-r0.apk2025-06-07 07:42 28K 
[   ]raku-if-doc-0.1.3-r0.apk2025-06-07 07:42 2.6K 
[   ]raku-io-path-childsecure-1.2-r0.apk2025-06-07 07:42 11K 
[   ]raku-io-path-childsecure-doc-1.2-r0.apk2025-06-07 07:42 2.9K 
[   ]raku-io-socket-async-ssl-0.8.2-r0.apk2025-06-07 07:42 276K 
[   ]raku-io-socket-async-ssl-doc-0.8.2-r0.apk2025-06-07 07:42 6.2K 
[   ]raku-json-class-0.0.21-r0.apk2025-06-07 07:42 48K 
[   ]raku-json-class-doc-0.0.21-r0.apk2025-06-07 07:42 3.3K 
[   ]raku-json-marshal-0.0.25-r0.apk2025-06-07 07:42 71K 
[   ]raku-json-marshal-doc-0.0.25-r0.apk2025-06-07 07:42 3.7K 
[   ]raku-json-name-0.0.7-r0.apk2025-06-07 07:42 37K 
[   ]raku-json-name-doc-0.0.7-r0.apk2025-06-07 07:42 2.8K 
[   ]raku-json-optin-0.0.2-r0.apk2025-06-07 07:42 22K 
[   ]raku-json-optin-doc-0.0.2-r0.apk2025-06-07 07:42 2.7K 
[   ]raku-json-unmarshal-0.18-r0.apk2025-06-07 07:42 72K 
[   ]raku-json-unmarshal-doc-0.18-r0.apk2025-06-07 07:42 3.3K 
[   ]raku-librarymake-1.0.5-r0.apk2025-06-08 16:40 37K 
[   ]raku-librarymake-doc-1.0.5-r0.apk2025-06-08 16:40 4.5K 
[   ]raku-log-timeline-0.5.2-r0.apk2025-06-07 07:42 278K 
[   ]raku-log-timeline-doc-0.5.2-r0.apk2025-06-07 07:42 5.4K 
[   ]raku-meta6-0.0.30-r0.apk2025-06-07 07:42 108K 
[   ]raku-meta6-doc-0.0.30-r0.apk2025-06-07 07:42 3.2K 
[   ]raku-oo-monitors-1.1.5-r0.apk2025-06-07 07:42 13K 
[   ]raku-oo-monitors-doc-1.1.5-r0.apk2025-06-07 07:42 2.9K 
[   ]raku-protocol-mqtt-0.0.4-r0.apk2025-06-08 16:40 223K 
[   ]raku-protocol-mqtt-doc-0.0.4-r0.apk2025-06-08 16:40 2.3K 
[   ]raku-shell-command-1.1-r0.apk2025-06-08 16:40 40K 
[   ]raku-shell-command-doc-1.1-r0.apk2025-06-08 16:40 3.5K 
[   ]raku-terminal-quickcharts-0.0.2-r0.apk2025-06-08 16:40 214K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r0.apk2025-06-08 16:40 3.1K 
[   ]raku-tinyfloats-0.0.5-r0.apk2025-06-07 07:42 35K 
[   ]raku-tinyfloats-doc-0.0.5-r0.apk2025-06-07 07:42 4.1K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 21:22 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 21:22 31K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:49 886K 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 20:34 6.7M 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 20:34 3.6K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 20:34 6.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 20:34 4.7K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 20:34 5.4K 
[   ]rauc-1.10.1-r0.apk2024-10-25 20:34 148K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 20:34 4.2K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 20:34 3.7K 
[   ]razercfg-0.42-r7.apk2024-10-25 20:34 82K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 20:34 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 20:34 1.7K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 20:34 36K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 20:34 330K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 20:34 1.0M 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:22 30K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:22 3.7K 
[   ]reaction-2.1.1-r0.apk2025-07-08 20:31 1.4M 
[   ]reaction-openrc-2.1.1-r0.apk2025-07-08 20:31 1.8K 
[   ]reaction-tools-2.1.1-r0.apk2025-07-08 20:31 5.2K 
[   ]readosm-1.1.0-r3.apk2025-05-12 21:26 15K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-12 21:26 20K 
[   ]reason-3.8.2-r1.apk2024-10-25 20:34 23M 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 20:34 24M 
[   ]recoll-1.37.5-r1.apk2024-10-25 20:34 2.8M 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 20:34 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 20:34 21K 
[   ]redhat-fonts-4.1.0-r0.apk2025-03-19 13:13 809K 
[   ]refine-0.5.10-r0.apk2025-07-02 13:18 33K 
[   ]refine-lang-0.5.10-r0.apk2025-07-02 13:18 33K 
[   ]reflex-20241231-r0.apk2025-06-21 07:06 79K 
[   ]reflex-dev-20241231-r0.apk2025-06-21 07:06 4.6K 
[   ]reflex-doc-20241231-r0.apk2025-06-21 07:06 37K 
[   ]reg-0.16.1-r29.apk2025-07-10 19:45 4.7M 
[   ]regal-0.33.1-r1.apk2025-07-10 19:45 12M 
[   ]regal-bash-completion-0.33.1-r1.apk2025-07-10 19:45 6.1K 
[   ]regal-fish-completion-0.33.1-r1.apk2025-07-10 19:45 4.3K 
[   ]regal-zsh-completion-0.33.1-r1.apk2025-07-10 19:45 4.0K 
[   ]regclient-0.8.3-r1.apk2025-07-10 19:45 13M 
[   ]remake-1.5-r1.apk2024-10-25 20:34 144K 
[   ]remake-dev-1.5-r1.apk2024-10-25 20:34 2.9K 
[   ]remake-doc-1.5-r1.apk2024-10-25 20:34 202K 
[   ]remake-make-1.5-r1.apk2024-10-25 20:34 1.5K 
[   ]remco-0.12.5-r1.apk2025-07-10 19:45 9.9M 
[   ]remco-doc-0.12.5-r1.apk2025-07-10 19:45 2.3K 
[   ]remco-openrc-0.12.5-r1.apk2025-07-10 19:45 1.7K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 20:34 18K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 20:34 6.1K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 20:34 1.3M 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 20:34 1.6K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 20:34 6.5K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 20:34 4.1K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 20:34 1.6K 
[   ]repo-2.53-r0.apk2025-03-20 00:48 17K 
[   ]repo-doc-2.53-r0.apk2025-03-20 00:48 38K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 04:07 80K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 04:07 103K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 20:34 750K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 20:34 12K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 20:34 5.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 20:34 2.9K 
[   ]restinio-0.6.19-r1.apk2024-12-14 19:04 1.2K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 19:04 268K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 20:34 14K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 20:34 3.5K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 20:34 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 20:34 44K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 20:34 73K 
[   ]rinetd-0.73-r0.apk2024-10-25 20:34 15K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 20:34 16K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 20:34 1.7K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 12:03 260K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-12 21:26 6.8K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-12 21:26 2.4K 
[   ]rizin-0.8.1-r0.apk2025-06-30 16:51 2.7M 
[   ]rizin-cutter-2.4.1-r0.apk2025-06-30 16:51 2.7M 
[   ]rizin-cutter-dev-2.4.1-r0.apk2025-06-30 16:51 103K 
[   ]rizin-dev-0.8.1-r0.apk2025-06-30 16:51 323K 
[   ]rizin-doc-0.8.1-r0.apk2025-06-30 16:51 19K 
[   ]rizin-libs-0.8.1-r0.apk2025-06-30 16:51 5.7M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 20:34 57K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 20:34 3.0K 
[   ]rke-1.4.3-r16.apk2025-07-10 19:45 20M 
[   ]rke-doc-1.4.3-r16.apk2025-07-10 19:45 3.0K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 20:35 146K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 20:35 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 20:35 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 20:35 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 20:35 124K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 20:35 5.5K 
[   ]roll-2.6.1-r0.apk2025-05-26 23:03 13K 
[   ]roll-bash-completion-2.6.1-r0.apk2025-05-26 23:03 1.9K 
[   ]roll-doc-2.6.1-r0.apk2025-05-26 23:03 11K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 20:35 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 20:35 119K 
[   ]rosenpass-0.2.2-r1.apk2025-02-01 18:23 1.1M 
[   ]rsstail-2.2-r0.apk2025-02-13 07:17 8.7K 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 07:17 2.8K 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:44 17M 
[   ]rt6-6.0.0-r0.apk2025-06-21 15:39 12M 
[   ]rtaudio-6.0.1-r0.apk2025-04-17 23:03 43K 
[   ]rtaudio-dev-6.0.1-r0.apk2025-04-17 23:03 65K 
[   ]rtaudio-doc-6.0.1-r0.apk2025-04-17 23:03 194K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 20:35 60K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 20:35 8.2K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 20:35 4.0M 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 20:35 31K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 20:35 14K 
[   ]rtptools-1.22-r2.apk2024-10-25 20:35 28K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 20:35 13K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 20:35 759K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-06 18:54 26K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.3K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.3K 
[   ]ruby-build-20250507-r0.apk2025-05-15 13:21 91K 
[   ]ruby-build-doc-20250507-r0.apk2025-05-15 13:21 4.8K 
[   ]ruby-build-runtime-20250507-r0.apk2025-05-15 13:21 1.3K 
[   ]ruby-byebug-11.1.3-r0.apk2025-05-25 23:56 126K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:56 89K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:56 2.3K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-04-23 20:23 8.2K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-04-23 20:23 2.3K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 3.1K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.3K 
[   ]ruby-facter-4.9.0-r1.apk2025-04-23 20:23 218K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-04-23 20:23 8.4K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-04-23 20:23 2.2K 
[   ]ruby-hoe-4.2.2-r0.apk2025-07-06 18:54 28K 
[   ]ruby-libguestfs-1.52.0-r3.apk2025-06-13 14:01 115K 
[   ]ruby-minitest-autotest-1.1.1-r0.apk2025-07-06 18:54 14K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-06 18:54 5.2K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-06 18:54 2.2K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-06 18:54 4.8K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-06 18:54 20K 
[   ]ruby-minitest-server-1.0.8-r0.apk2025-07-06 18:54 5.4K 
[   ]ruby-path_expander-1.1.3-r0.apk2025-07-06 18:54 6.4K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-06 18:54 25K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-06 22:31 21K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-06 22:31 35K 
[   ]ruri-3.8-r0.apk2025-06-24 02:43 109K 
[   ]ruri-doc-3.8-r0.apk2025-06-24 02:43 2.2K 
[   ]rust-script-0.35.0-r0.apk2024-10-27 16:30 1.1M 
[   ]rustic-0.9.3-r0.apk2025-06-09 02:21 6.2M 
[   ]rustic-bash-completion-0.9.3-r0.apk2025-06-09 02:21 8.7K 
[   ]rustic-fish-completion-0.9.3-r0.apk2025-06-09 02:21 17K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2025-06-09 02:21 13K 
[   ]rustical-0.4.11-r0.apk2025-07-06 19:54 4.4M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 20:35 1.4M 
[   ]ruuvi-prometheus-0.1.9-r3.apk2025-07-10 19:45 3.4M 
[   ]ruuvi-prometheus-openrc-0.1.9-r3.apk2025-07-10 19:45 1.7K 
[   ]rvlprog-0.91-r2.apk2024-10-25 20:35 27K 
[   ]ry-0.5.2-r1.apk2024-10-25 20:35 4.6K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 20:35 2.0K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 20:35 2.3K 
[   ]rygel-0.44.2-r0.apk2025-03-19 13:13 817K 
[   ]rygel-dev-0.44.2-r0.apk2025-03-19 13:13 43K 
[   ]rygel-doc-0.44.2-r0.apk2025-03-19 13:13 9.6K 
[   ]rygel-lang-0.44.2-r0.apk2025-03-19 13:13 567K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 20:35 59K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 20:35 8.5K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 20:35 48K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 20:35 9.6K 
[   ]s5cmd-2.3.0-r4.apk2025-07-10 19:45 5.1M 
[   ]saait-0.8-r0.apk2024-10-25 20:35 7.1K 
[   ]saait-doc-0.8-r0.apk2024-10-25 20:35 13K 
[   ]sacc-1.07-r0.apk2024-10-25 20:35 16K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 20:35 2.9K 
[   ]sandbar-0.1-r1.apk2025-05-14 18:13 16K 
[   ]satellite-1.0.0-r29.apk2025-07-10 19:45 2.2M 
[   ]satellite-doc-1.0.0-r29.apk2025-07-10 19:45 3.0K 
[   ]satellite-openrc-1.0.0-r29.apk2025-07-10 19:45 1.9K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 18:33 934M 
[   ]sbase-0_git20210730-r3.apk2024-10-25 20:35 125K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 20:35 58K 
[   ]sblg-0.5.11-r0.apk2024-10-25 20:35 39K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 20:35 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 20:35 57K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 20:35 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 20:35 35K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 20:35 99K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 20:35 4.5K 
[   ]scalingo-1.30.0-r11.apk2025-07-10 19:45 5.4M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 20:35 236K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 20:35 1.6M 
[   ]schismtracker-20231029-r0.apk2024-10-25 20:35 406K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 20:35 6.2K 
[   ]scooper-1.3-r1.apk2024-10-25 20:35 538K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 20:35 2.6K 
[   ]scratch-1.4.0.7-r1.apk2024-10-25 20:35 39M 
[   ]scratch-doc-1.4.0.7-r1.apk2024-10-25 20:35 2.6K 
[   ]screen-message-0.29-r0.apk2025-04-15 01:17 11K 
[   ]screen-message-doc-0.29-r0.apk2025-04-15 01:17 3.6K 
[   ]screenkey-1.5-r6.apk2024-10-25 20:35 77K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 20:35 11K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 20:35 73K 
[   ]sct-2018.12.18-r1.apk2024-10-25 20:35 3.8K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 20:18 80K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 20:18 12K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 20:18 2.1K 
[   ]sdparm-1.12-r1.apk2024-10-25 20:36 158K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 20:36 19K 
[   ]secsipidx-1.3.2-r13.apk2025-07-10 19:45 2.8M 
[   ]secsipidx-dev-1.3.2-r13.apk2025-07-10 19:45 5.6M 
[   ]secsipidx-libs-1.3.2-r13.apk2025-07-10 19:45 2.5M 
[   ]sedutil-1.15.1-r1.apk2024-10-25 20:36 182K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 20:36 3.1K 
[   ]seed7-05.20240322-r0.apk2024-10-25 20:36 10M 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 20:36 1.6M 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 20:36 2.5K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 20:36 4.0K 
[   ]sentrypeer-4.0.4-r0.apk2025-03-19 13:13 1.8M 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-19 13:13 3.3K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:40 6.7K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:40 5.1K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:40 70K 
[   ]setroot-2.0.2-r1.apk2024-10-25 20:36 12K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 20:36 4.4K 
[   ]sflowtool-6.02-r0.apk2024-10-25 20:36 42K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 20:36 9.4K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 19:02 292K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 19:02 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 20:36 3.1M 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 20:36 231K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 20:36 3.5K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 20:36 28K 
[   ]shc-4.0.3-r2.apk2024-10-25 20:36 21K 
[   ]shellinabox-2.21-r3.apk2024-10-25 20:36 121K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 20:36 19K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 20:36 3.5K 
[   ]shfm-0.4.2-r1.apk2024-10-25 20:36 4.0K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 20:36 6.1K 
[   ]shine-3.1.1-r0.apk2024-10-25 20:36 56K 
[   ]shipments-0.3.0-r0.apk2024-10-25 20:36 24K 
[   ]shntool-3.0.10-r5.apk2025-02-24 21:00 60K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 21:00 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 20:36 1.8K 
[   ]sigma-0.23.1-r1.apk2024-10-25 20:36 237K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 20:36 340K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 20:36 40K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 20:36 8.0K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 20:36 13K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 07:03 911K 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 07:03 82K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:19 2.3M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:19 404K 
[   ]simh-3.11.1-r1.apk2024-10-25 20:36 3.1M 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-19 13:13 447K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-19 13:13 478K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-19 13:13 482K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-19 13:13 485K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-19 13:13 483K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-19 13:13 504K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-19 13:13 520K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-19 13:13 526K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-19 13:13 509K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-19 13:13 535K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-19 13:13 547K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-19 13:13 528K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-19 13:13 537K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-19 13:13 533K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-19 13:13 544K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-19 13:13 530K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-19 13:13 540K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-19 13:13 484K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-19 13:13 490K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-19 13:13 14K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-19 13:13 525K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-19 13:13 537K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-19 13:13 517K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-19 13:13 527K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-19 13:13 449K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-19 13:13 487K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-19 13:13 492K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-19 13:13 450K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-19 13:13 451K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-19 13:13 536K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-19 13:13 549K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-19 13:13 518K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-19 13:13 525K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-19 13:13 533K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-19 13:13 538K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-19 13:13 551K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-19 13:13 545K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-19 13:13 538K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-19 13:13 550K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-19 13:13 524K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-19 13:13 535K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-19 13:13 531K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-19 13:13 542K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-19 13:13 530K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-19 13:13 541K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-19 13:13 520K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-19 13:13 527K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-19 13:13 534K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-19 13:13 546K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-19 13:13 529K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-19 13:13 540K 
[   ]simpleble-0.10.3-r0.apk2025-07-11 02:17 1.2K 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-11 02:17 28K 
[   ]sing-box-1.11.15-r0.apk2025-07-10 19:45 12M 
[   ]sing-box-bash-completion-1.11.15-r0.apk2025-07-10 19:45 5.1K 
[   ]sing-box-fish-completion-1.11.15-r0.apk2025-07-10 19:45 4.3K 
[   ]sing-box-openrc-1.11.15-r0.apk2025-07-10 19:45 2.1K 
[   ]sing-box-zsh-completion-1.11.15-r0.apk2025-07-10 19:45 4.1K 
[   ]sing-geoip-20250612-r0.apk2025-06-16 15:42 2.3M 
[   ]sing-geosite-20250627153051-r0.apk2025-07-10 19:45 1.1M 
[   ]singular-4.4.1_p2-r0.apk2025-07-02 17:17 10M 
[   ]singular-dev-4.4.1_p2-r0.apk2025-07-02 17:17 359K 
[   ]singular-doc-4.4.1_p2-r0.apk2025-07-02 17:17 1.3M 
[   ]singular-emacs-4.4.1_p2-r0.apk2025-07-02 17:17 102K 
[   ]singular-static-4.4.1_p2-r0.apk2025-07-02 17:17 5.6M 
[   ]sipexer-1.2.0-r4.apk2025-07-10 19:45 2.8M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 20:36 27K 
[   ]slidge-0.1.3-r0.apk2024-10-25 20:36 145K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 20:36 4.5K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 20:36 30K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 20:36 1.5K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 20:36 38K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 20:36 2.3K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 20:36 285K 
[   ]sloccount-2.26-r3.apk2024-10-25 20:36 61K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 20:36 59K 
[   ]slurm-0.4.4-r0.apk2024-10-25 20:36 14K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 20:36 2.3K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:57 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:57 70K 
[   ]smile-2.10.1-r0.apk2025-04-08 22:18 721K 
[   ]smile-lang-2.10.1-r0.apk2025-04-08 22:18 25K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 11:42 157K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 11:42 25K 
[   ]snapper-0.12.2-r0.apk2025-05-06 12:27 1.0M 
[   ]snapper-bash-completion-0.12.2-r0.apk2025-05-06 12:27 3.1K 
[   ]snapper-dev-0.12.2-r0.apk2025-05-06 12:27 10K 
[   ]snapper-doc-0.12.2-r0.apk2025-05-06 12:27 25K 
[   ]snapper-lang-0.12.2-r0.apk2025-05-06 12:27 212K 
[   ]snapper-zsh-completion-0.12.2-r0.apk2025-05-06 12:27 3.6K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 20:36 41K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 20:36 361K 
[   ]snippets-ls-0.0.4_git20240617-r6.apk2025-07-10 19:45 1.4M 
[   ]snore-0.3.1-r0.apk2024-10-25 20:36 4.4K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 20:36 3.1K 
[   ]so-0.4.10-r0.apk2024-10-25 20:36 2.3M 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 01:11 49K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 20:36 30K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 20:36 201K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 20:36 2.4K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 20:36 1.7K 
[   ]solarus-engine-1.7.0-r1.apk2025-02-20 18:33 2.2M 
[   ]solarus-engine-doc-1.7.0-r1.apk2025-02-20 18:33 3.3K 
[   ]solarus-quest-editor-1.7.0-r1.apk2025-02-20 18:33 55M 
[   ]somebar-1.0.3-r0.apk2024-10-25 20:36 50K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 20:36 2.4K 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-05 00:02 11M 
[   ]sonicradio-0.6.15-r2.apk2025-07-10 19:45 3.1M 
[   ]sopwith-2.5.0-r0.apk2024-10-25 20:36 55K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 20:36 15K 
[   ]sos-0.8-r32.apk2025-07-10 19:45 2.7M 
[   ]soundconverter-4.1.0-r0.apk2025-06-24 16:41 165K 
[   ]soundconverter-doc-4.1.0-r0.apk2025-06-24 16:41 4.7K 
[   ]soundconverter-lang-4.1.0-r0.apk2025-06-24 16:41 107K 
[   ]soundconverter-pyc-4.1.0-r0.apk2025-06-24 16:41 77K 
[   ]spacectl-1.12.0-r2.apk2025-07-10 19:45 5.8M 
[   ]spacectl-bash-completion-1.12.0-r2.apk2025-07-10 19:45 2.1K 
[   ]spacectl-doc-1.12.0-r2.apk2025-07-10 19:45 2.3K 
[   ]spacectl-fish-completion-1.12.0-r2.apk2025-07-10 19:45 7.1K 
[   ]spacectl-zsh-completion-1.12.0-r2.apk2025-07-10 19:45 1.8K 
[   ]spacenavd-1.2-r0.apk2024-10-25 20:36 33K 
[   ]spacer-0.3.9-r0.apk2025-05-26 13:06 1.0M 
[   ]spacer-doc-0.3.9-r0.apk2025-05-26 13:06 3.1K 
[   ]spampd-2.62-r0.apk2025-02-25 22:28 39K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 22:28 2.0K 
[   ]spark-2.8.3-r1.apk2024-10-25 20:36 29M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 20:36 1.1M 
[   ]speedtest-5.2.5-r1.apk2024-10-25 20:36 253K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 20:36 18K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 20:36 13K 
[   ]speedtest-go-1.1.5-r16.apk2025-07-10 19:45 5.5M 
[   ]speedtest-go-doc-1.1.5-r16.apk2025-07-10 19:45 4.5K 
[   ]speedtest-go-openrc-1.1.5-r16.apk2025-07-10 19:45 1.7K 
[   ]speedtest_exporter-0.3.2-r16.apk2025-07-10 19:45 3.9M 
[   ]speedtest_exporter-openrc-0.3.2-r16.apk2025-07-10 19:45 1.9K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 20:36 438K 
[   ]spike-1.1.0-r0.apk2024-10-25 20:36 1.6M 
[   ]spiped-1.6.2-r1.apk2024-10-25 20:36 72K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:10 50K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 20:36 38K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 20:36 48K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 20:36 195K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 20:36 372K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 20:36 4.6K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-08 11:05 38K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 20:36 12K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 20:36 3.3K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 20:36 93K 
[   ]sqlmap-1.9.7-r0.apk2025-07-12 15:28 6.8M 
[   ]sqlmap-pyc-1.9.7-r0.apk2025-07-12 15:28 1.2M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 20:36 20K 
[   ]squeak-vm-4.10.2.2614-r1.apk2024-10-25 20:36 502K 
[   ]squeak-vm-doc-4.10.2.2614-r1.apk2024-10-25 20:36 12K 
[   ]srain-1.8.1-r0.apk2025-06-26 18:41 165K 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 18:41 35K 
[   ]sregex-0.0.1-r1.apk2024-10-25 20:36 22K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 20:36 26K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 20:36 97K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 20:36 18K 
[   ]ssh-cert-authority-2.0.0-r27.apk2025-07-10 19:45 5.0M 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 20:36 9.3K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 20:36 2.1K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 20:36 26K 
[   ]sshs-4.7.2-r0.apk2025-04-23 23:13 784K 
[   ]sshsrv-1.0-r13.apk2025-07-10 19:45 1.0M 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 20:36 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 20:36 8.5K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 20:36 101K 
[   ]sssd-2.11.0-r0.apk2025-06-06 08:56 2.2M 
[   ]sssd-dev-2.11.0-r0.apk2025-06-06 08:56 15K 
[   ]sssd-openrc-2.11.0-r0.apk2025-06-06 08:56 1.7K 
[   ]ssss-0.5.7-r0.apk2024-10-25 20:36 12K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 20:36 3.3K 
[   ]stalwart-cli-0.12.5-r0.apk2025-06-25 19:04 2.4M 
[   ]stalwart-mail-0.12.5-r0.apk2025-06-25 19:04 17M 
[   ]stalwart-mail-openrc-0.12.5-r0.apk2025-06-25 19:04 2.1K 
[   ]stardict-3.0.6-r6.apk2024-10-25 20:36 972K 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 20:36 2.2K 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 20:36 3.4M 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 20:36 290K 
[   ]starfighter-2.4-r0.apk2024-10-25 20:36 48M 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 20:36 22K 
[   ]startup-2.0.3-r5.apk2024-10-25 20:36 442K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 20:36 33K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 20:36 32K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 20:36 5.8K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 20:36 48K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 20:36 5.4K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 20:36 17K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 20:36 13K 
[   ]stayrtr-0.6.2-r5.apk2025-07-10 19:45 11M 
[   ]stayrtr-openrc-0.6.2-r5.apk2025-07-10 19:45 2.0K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 20:36 155K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 20:36 14K 
[   ]stern-1.32.0-r5.apk2025-07-10 19:45 18M 
[   ]stern-bash-completion-1.32.0-r5.apk2025-07-10 19:45 5.8K 
[   ]stern-fish-completion-1.32.0-r5.apk2025-07-10 19:45 4.3K 
[   ]stern-zsh-completion-1.32.0-r5.apk2025-07-10 19:45 4.0K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 20:36 60K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 20:36 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 20:36 2.0K 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 20:36 33M 
[   ]stw-0.3-r0.apk2024-10-25 20:36 8.2K 
[   ]stw-doc-0.3-r0.apk2024-10-25 20:36 2.5K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 20:36 8.7K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 20:36 14K 
[   ]subliminal-2.2.1-r1.apk2025-05-14 18:13 68K 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-14 18:13 135K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 19:04 783K 
[   ]supermin-5.2.2-r2.apk2024-10-25 20:36 601K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 20:36 9.4K 
[   ]supersonik-0.1.0-r2.apk2025-04-15 01:17 1.1M 
[   ]surf-2.1-r3.apk2024-10-25 20:36 24K 
[   ]surf-doc-2.1-r3.apk2024-10-25 20:36 4.6K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 20:36 79K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 20:36 18K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-09 17:32 2.9M 
[   ]svls-0.2.12-r0.apk2024-10-25 20:36 4.6M 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 20:36 2.2K 
[   ]swaks-20240103.0-r0.apk2024-10-25 20:36 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 20:36 50K 
[   ]swappy-1.5.1-r0.apk2024-10-25 20:36 30K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 20:36 3.7K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 20:36 3.6K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 20:36 10K 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:08 5.1M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:08 2.1M 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:08 22K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:08 922K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:08 1.0M 
[   ]sxcs-1.1.0-r0.apk2024-10-25 20:36 8.9K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 20:36 2.6K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 20:36 8.6K 
[   ]symengine-0.12.0-r0.apk2024-10-25 20:36 2.8M 
[   ]symlinks-1.4.3-r0.apk2025-04-21 21:27 6.1K 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 21:27 3.9K 
[   ]sympow-2.023.7-r2.apk2024-10-25 20:36 1.8M 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 20:36 3.1K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 20:36 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 20:36 2.2K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 20:36 221K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 20:36 8.9K 
[   ]tabby-3.1-r1.apk2024-10-25 20:36 33K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 20:36 2.3K 
[   ]tabiew-0.7.1-r0.apk2024-11-25 21:49 9.0M 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 20:36 102K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 20:36 1.9M 
[   ]tang-15-r0.apk2025-01-20 04:17 17K 
[   ]tang-dbg-15-r0.apk2025-01-20 04:17 31K 
[   ]tang-doc-15-r0.apk2025-01-20 04:17 21K 
[   ]tang-openrc-15-r0.apk2025-01-20 04:17 1.9K 
[   ]tangctl-0_git20241007-r5.apk2025-07-10 19:45 2.6M 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 11:52 23K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 11:52 11K 
[   ]tanka-0.32.0-r1.apk2025-07-10 19:45 4.6M 
[   ]taskcafe-0.3.6-r14.apk2025-07-10 19:45 14M 
[   ]taskcafe-openrc-0.3.6-r14.apk2025-07-10 19:45 1.8K 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:58 28K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:58 6.1K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 20:36 33K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 20:36 38K 
[   ]tcl9-9.0.2-r0.apk2025-07-03 08:12 1.9M 
[   ]tcl9-dev-9.0.2-r0.apk2025-07-03 08:12 184K 
[   ]tcl9-doc-9.0.2-r0.apk2025-07-03 08:12 1.4M 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 20:36 86K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 20:36 2.5K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 20:36 13K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 20:36 12K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 20:36 8.9K 
[   ]tealdeer-1.7.2-r0.apk2025-03-23 13:19 1.0M 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-23 13:19 2.0K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-23 13:19 2.2K 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-23 13:19 2.3K 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 20:36 2.3M 
[   ]templ-0.3.850-r3.apk2025-07-10 19:45 4.8M 
[   ]tempo-2.8.1-r1.apk2025-07-10 19:45 30M 
[   ]tempo-cli-2.8.1-r1.apk2025-07-10 19:45 21M 
[   ]tempo-openrc-2.8.1-r1.apk2025-07-10 19:45 1.9K 
[   ]tempo-query-2.8.1-r1.apk2025-07-10 19:45 6.7M 
[   ]tempo-vulture-2.8.1-r1.apk2025-07-10 19:45 13M 
[   ]tempo-vulture-openrc-2.8.1-r1.apk2025-07-10 19:45 2.0K 
[   ]tenv-4.7.6-r1.apk2025-07-10 19:45 9.6M 
[   ]tenv-bash-completion-4.7.6-r1.apk2025-07-10 19:45 6.1K 
[   ]tenv-fish-completion-4.7.6-r1.apk2025-07-10 19:45 4.3K 
[   ]tenv-zsh-completion-4.7.6-r1.apk2025-07-10 19:45 4.0K 
[   ]termbox-1.1.2-r1.apk2024-10-25 20:36 13K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 20:36 5.7K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 20:36 13K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 20:36 1.5K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 20:36 6.8K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 20:36 402K 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 20:36 58K 
[   ]tfupdate-0.8.2-r7.apk2025-07-10 19:45 4.9M 
[   ]tfupdate-doc-0.8.2-r7.apk2025-07-10 19:45 2.3K 
[   ]thanos-0.31.0-r14.apk2025-07-10 19:45 21M 
[   ]thanos-openrc-0.31.0-r14.apk2025-07-10 19:45 1.9K 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 20:36 7.0M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 20:36 6.3M 
[   ]thefuck-3.32-r5.apk2024-10-25 20:36 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 20:36 156K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 20:36 28M 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 20:36 2.3K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 20:36 2.0K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 20:36 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 20:36 2.3K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:23 15K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:23 1.6K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:23 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 20:36 11K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2025-03-10 18:17 24K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 20:36 10K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 20:36 25K 
[   ]tick-1.2.2-r0.apk2025-01-14 01:26 11K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 01:26 5.5K 
[   ]ticker-4.8.1-r3.apk2025-07-10 19:45 4.1M 
[   ]ticker-bash-completion-4.8.1-r3.apk2025-07-10 19:45 4.6K 
[   ]ticker-fish-completion-4.8.1-r3.apk2025-07-10 19:45 3.9K 
[   ]ticker-zsh-completion-4.8.1-r3.apk2025-07-10 19:45 3.7K 
[   ]timeshift-24.06.6-r0.apk2025-04-23 23:13 469K 
[   ]timeshift-doc-24.06.6-r0.apk2025-04-23 23:13 3.2K 
[   ]timeshift-lang-24.06.6-r0.apk2025-04-23 23:13 917K 
[   ]timew-1.4.3-r1.apk2024-10-25 20:36 260K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 20:36 2.8K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 20:36 53K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 20:36 274K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 20:36 22K 
[   ]timoni-0.23.0-r6.apk2025-07-10 19:45 23M 
[   ]timoni-bash-completion-0.23.0-r6.apk2025-07-10 19:45 8.0K 
[   ]timoni-doc-0.23.0-r6.apk2025-07-10 19:45 338K 
[   ]timoni-fish-completion-0.23.0-r6.apk2025-07-10 19:45 4.3K 
[   ]timoni-zsh-completion-0.23.0-r6.apk2025-07-10 19:45 4.0K 
[   ]tintin-2.02.31-r0.apk2024-10-25 20:36 1.9M 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:36 176K 
[   ]tinygltf-2.9.6-r0.apk2025-06-08 16:42 145K 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-08 16:42 57K 
[   ]tinymist-0.13.12-r0.apk2025-05-03 09:47 19M 
[   ]tinyscheme-1.42-r1.apk2024-10-25 20:36 59K 
[   ]tk9-9.0.2-r0.apk2025-07-03 08:12 890K 
[   ]tk9-dev-9.0.2-r0.apk2025-07-03 08:12 81K 
[   ]tk9-doc-9.0.2-r0.apk2025-07-03 08:12 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tmate-2.4.0-r4.apk2024-10-25 20:36 260K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 20:36 72K 
[   ]tmpl-0.4.0-r12.apk2025-07-10 19:45 2.7M 
[   ]tmpl-doc-0.4.0-r12.apk2025-07-10 19:45 2.2K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 20:36 7.0K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 20:36 3.2K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 20:36 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 20:36 8.4K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 20:36 24K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 20:36 3.9K 
[   ]tnef-1.4.18-r0.apk2024-10-25 20:36 26K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 20:36 4.2K 
[   ]toapk-1.0-r0.apk2024-10-25 20:36 11K 
[   ]today-6.2.1-r0.apk2025-06-17 15:29 3.2K 
[   ]today-doc-6.2.1-r0.apk2025-06-17 15:29 3.3K 
[   ]tomcat9-9.0.107-r0.apk2025-07-13 16:30 6.7M 
[   ]tomcat9-admin-9.0.107-r0.apk2025-07-13 16:30 119K 
[   ]tomcat9-doc-9.0.107-r0.apk2025-07-13 16:30 1.7M 
[   ]tomcat9-examples-9.0.107-r0.apk2025-07-13 16:30 439K 
[   ]tomcat9-openrc-9.0.107-r0.apk2025-07-13 16:30 4.6K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 20:36 426K 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 20:36 3.3K 
[   ]topgit-0.19.13-r1.apk2024-10-25 20:36 127K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 20:36 4.0K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 20:36 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 20:36 369K 
[   ]toss-1.1-r1.apk2025-05-29 11:59 12K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 09:33 13K 
[   ]toybox-0.8.11-r1.apk2024-10-25 20:36 291K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 20:36 133K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 20:36 1.9K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 20:36 69K 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 20:36 13K 
[   ]tqm-1.13.0-r1.apk2025-07-10 19:45 4.6M 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 11:58 172K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 11:58 3.4K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 11:58 508K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 11:58 171K 
[   ]transito-0.9.1-r7.apk2025-07-10 19:45 8.4M 
[   ]transito-doc-0.9.1-r7.apk2025-07-10 19:45 755K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 20:36 151K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 20:36 4.2K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 20:36 106K 
[   ]trantor-1.5.18-r0.apk2024-10-25 20:36 245K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 20:36 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 20:36 2.6K 
[   ]tre-0.8.0-r2.apk2024-10-25 20:36 28K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 20:36 5.2K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 20:36 30K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 20:36 77K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 20:36 2.3K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 20:36 24K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-19 13:13 108K 
[   ]tree-sitter-git-commit-0_git20211225-r3.apk2025-02-26 17:29 14K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 20:36 11K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-19 13:13 5.2K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 20:36 46K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 20:36 36K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 15:53 302K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 00:16 25K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 20:36 15K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 20:36 45K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 20:36 87K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 20:36 2.3K 
[   ]tree-sitter-yaml-0.7.1-r0.apk2025-05-23 05:05 41K 
[   ]tree-sitter-yaml-doc-0.7.1-r0.apk2025-05-23 05:05 2.3K 
[   ]tremc-0.9.3-r1.apk2025-05-14 18:13 48K 
[   ]tremc-bash-completion-0.9.3-r1.apk2025-05-14 18:13 1.9K 
[   ]tremc-doc-0.9.3-r1.apk2025-05-14 18:13 2.9K 
[   ]tremc-zsh-completion-0.9.3-r1.apk2025-05-14 18:13 1.8K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:17 302K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:17 352M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:17 28K 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:20 2.2M 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:20 3.2K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:20 4.8K 
[   ]trivy-0.64.1-r1.apk2025-07-10 19:45 64M 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:36 722K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 20:36 30K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 20:36 161K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 20:36 8.1K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 20:36 61K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 20:36 111K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 20:36 8.9K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 20:36 3.1K 
[   ]tty-proxy-0.0.2-r29.apk2025-07-10 19:45 2.6M 
[   ]tty-share-2.4.0-r19.apk2025-07-10 19:45 3.8M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 686K 
[   ]tuned-2.25.1-r2.apk2025-05-28 10:42 159K 
[   ]tuned-bash-completion-2.25.1-r2.apk2025-05-28 10:42 1.9K 
[   ]tuned-doc-2.25.1-r2.apk2025-05-28 10:42 79K 
[   ]tuned-gtk-2.25.1-r2.apk2025-05-28 10:42 22K 
[   ]tuned-openrc-2.25.1-r2.apk2025-05-28 10:42 1.7K 
[   ]tuned-ppd-2.25.1-r2.apk2025-05-28 10:42 3.7K 
[   ]tuned-ppd-openrc-2.25.1-r2.apk2025-05-28 10:42 1.8K 
[   ]tuned-profiles-2.25.1-r2.apk2025-05-28 10:42 8.2K 
[   ]tuned-profiles-compat-2.25.1-r2.apk2025-05-28 10:42 3.6K 
[   ]tuned-pyc-2.25.1-r2.apk2025-05-28 10:42 333K 
[   ]tuned-utils-2.25.1-r2.apk2025-05-28 10:42 11K 
[   ]tup-0.7.11-r1.apk2025-06-19 09:30 243K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 09:30 21K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 09:30 2.5K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 22:44 14K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 22:44 3.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 22:44 1.7K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 13:21 594K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 13:21 11K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 13:21 2.0K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 20:36 39K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 20:36 5.7K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 20:36 1.8K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:01 187K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:01 12K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 20:36 68K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 20:36 17K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]typlite-0.13.12-r0.apk2025-05-03 09:47 14M 
[   ]typobuster-1.0.0-r0.apk2025-04-23 23:13 129K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 13:44 610K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 20:36 98K 
[   ]uasm-2.56.2-r0.apk2024-10-25 20:36 305K 
[   ]ubase-20200605-r3.apk2024-10-25 20:36 45K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 20:36 21K 
[   ]uclient-20241022-r0.apk2025-05-29 22:00 19K 
[   ]uclient-dev-20241022-r0.apk2025-05-29 22:00 3.5K 
[   ]uclient-fetch-20241022-r0.apk2025-05-29 22:00 11K 
[   ]udpt-3.1.2-r0.apk2024-10-25 20:36 853K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 20:36 1.8K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 11:09 66K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]undock-0.10.0-r1.apk2025-07-10 19:45 10M 
[   ]unit-php81-1.34.2-r1.apk2025-04-24 00:44 32K 
[   ]unit-php85-1.34.2-r0.apk2025-07-02 18:51 32K 
[   ]up-0.4-r30.apk2025-07-10 19:45 1.2M 
[   ]upterm-0.14.3-r6.apk2025-07-10 19:45 6.1M 
[   ]upterm-bash-completion-0.14.3-r6.apk2025-07-10 19:45 5.5K 
[   ]upterm-doc-0.14.3-r6.apk2025-07-10 19:45 6.4K 
[   ]upterm-server-0.14.3-r6.apk2025-07-10 19:45 6.0M 
[   ]upterm-server-openrc-0.14.3-r6.apk2025-07-10 19:45 1.9K 
[   ]upterm-zsh-completion-0.14.3-r6.apk2025-07-10 19:45 4.0K 
[   ]uranium-5.2.2-r3.apk2024-10-25 20:36 596K 
[   ]urlwatch-2.28-r2.apk2024-10-25 20:36 49K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 20:36 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 20:36 101K 
[   ]usbmuxd-1.1.1-r9.apk2025-04-18 14:39 35K 
[   ]usbmuxd-doc-1.1.1-r9.apk2025-04-18 14:39 3.0K 
[   ]usbmuxd-udev-1.1.1-r9.apk2025-04-18 14:39 2.0K 
[   ]usbtop-1.0-r0.apk2025-04-15 01:17 14K 
[   ]usql-0.15.6-r14.apk2025-07-10 19:45 30M 
[   ]ustr-1.0.4-r1.apk2024-10-25 20:36 62K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 20:36 78K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 20:36 91K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 20:36 97K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 20:36 165K 
[   ]utop-2.9.1-r4.apk2024-10-25 20:36 13M 
[   ]utop-common-2.9.1-r4.apk2024-10-25 20:36 2.0K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 20:36 5.7K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 20:36 13K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 20:36 13M 
[   ]uucp-1.07-r6.apk2024-10-25 20:36 529K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 20:36 118K 
[   ]uxn-1.0-r0.apk2024-10-25 20:36 47K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 20:36 4.2K 
[   ]vals-0.41.2-r1.apk2025-07-10 19:45 29M 
[   ]varnish-modules-0.26.0-r0.apk2025-07-06 18:54 41K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-06 18:54 22K 
[   ]vbindiff-3.0_beta5-r2.apk2025-05-25 07:50 22K 
[   ]vbindiff-doc-3.0_beta5-r2.apk2025-05-25 07:50 5.5K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 10:33 485K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 10:33 121K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 10:33 74K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 20:36 8.8K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 20:36 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 20:36 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 20:36 2.9K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 20:36 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 20:36 1.8K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 20:36 58K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 20:36 1.6K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 20:36 1.7K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 20:36 283K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 20:36 2.3K 
[   ]vera++-1.3.0-r10.apk2024-10-25 20:36 259K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 20:36 25K 
[   ]vice-3.9-r0.apk2025-02-24 21:00 14M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 20:36 2.8M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 20:36 24K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 20:36 1.9M 
[   ]video-trimmer-25.03-r0.apk2025-06-30 13:45 411K 
[   ]video-trimmer-lang-25.03-r0.apk2025-06-30 13:45 93K 
[   ]viewnior-1.8-r1.apk2024-10-25 20:36 77K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 20:36 2.1K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 20:36 85K 
[   ]vile-9.8z_p1-r1.apk2025-06-30 07:04 859K 
[   ]vile-common-9.8z_p1-r1.apk2025-06-30 07:04 355K 
[   ]vile-doc-9.8z_p1-r1.apk2025-06-30 07:04 357K 
[   ]vim-airline-0.11-r0.apk2024-10-25 20:36 86K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 20:36 12K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:00 67K 
[   ]vim-rust-305-r0.apk2024-10-25 20:36 20K 
[   ]virtctl-1.5.1-r1.apk2025-07-10 19:45 15M 
[   ]virtctl-bash-completion-1.5.1-r1.apk2025-07-10 19:45 5.1K 
[   ]virtctl-fish-completion-1.5.1-r1.apk2025-07-10 19:45 4.3K 
[   ]virtctl-zsh-completion-1.5.1-r1.apk2025-07-10 19:45 4.0K 
[   ]virter-0.29.0-r1.apk2025-07-10 19:45 5.8M 
[   ]virter-bash-completion-0.29.0-r1.apk2025-07-10 19:45 6.1K 
[   ]virter-doc-0.29.0-r1.apk2025-07-10 19:45 15K 
[   ]virter-fish-completion-0.29.0-r1.apk2025-07-10 19:45 4.3K 
[   ]virter-zsh-completion-0.29.0-r1.apk2025-07-10 19:45 4.1K 
[   ]virtualgl-3.1.3-r0.apk2025-04-21 21:45 1.9M 
[   ]virtualgl-dev-3.1.3-r0.apk2025-04-21 21:45 6.1K 
[   ]virtualgl-doc-3.1.3-r0.apk2025-04-21 21:45 314K 
[   ]visidata-3.2-r0.apk2025-06-20 11:37 421K 
[   ]visidata-doc-3.2-r0.apk2025-06-20 11:37 18K 
[   ]visidata-pyc-3.2-r0.apk2025-06-20 11:37 841K 
[   ]visidata-zsh-completion-3.2-r0.apk2025-06-20 11:37 9.3K 
[   ]vit-2.3.2-r1.apk2024-10-25 20:37 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 20:37 151K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 20:37 372K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 20:37 3.1K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 20:37 12K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 20:37 8.0K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 20:37 1.6M 
[   ]volatility3-2.11.0-r0.apk2025-02-13 07:45 563K 
[   ]volatility3-doc-2.11.0-r0.apk2025-02-13 07:45 3.1K 
[   ]volatility3-pyc-2.11.0-r0.apk2025-02-13 07:45 1.0M 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 20:37 44K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 20:37 3.8K 
[   ]vym-2.9.26-r0.apk2024-10-25 20:37 2.8M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 20:37 3.4M 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 16:42 153K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 16:42 4.2K 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:38 4.5M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:38 13K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 20:37 4.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 20:37 7.5K 
[   ]walk-1.13.0-r4.apk2025-07-10 19:45 3.1M 
[   ]walk-doc-1.13.0-r4.apk2025-07-10 19:45 2.2K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 20:37 5.4K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 20:37 7.8K 
[   ]warp-s3-1.2.0-r0.apk2025-07-10 23:12 8.1M 
[   ]warpinator-1.8.8-r3.apk2025-06-11 18:38 216K 
[   ]warpinator-lang-1.8.8-r3.apk2025-06-11 18:38 222K 
[   ]warpinator-nemo-1.8.8-r3.apk2025-06-11 18:38 4.2K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 20:37 1.3M 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 20:37 6.6K 
[   ]watchdog-5.16-r2.apk2024-10-25 20:37 44K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 20:37 14K 
[   ]watchmate-0.5.3-r0.apk2025-05-16 17:13 2.3M 
[   ]way-displays-1.14.1-r0.apk2025-07-05 16:46 108K 
[   ]way-displays-doc-1.14.1-r0.apk2025-07-05 16:46 4.6K 
[   ]wayback-0_git20250712-r0.apk2025-07-12 15:17 17K 
[   ]wayback-doc-0_git20250712-r0.apk2025-07-12 15:17 3.0K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:28 2.6M 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:28 129K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:28 3.6K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:28 598K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 20:37 51K 
[   ]wayqt-0.3.0-r0.apk2025-04-21 21:41 114K 
[   ]wayqt-dev-0.3.0-r0.apk2025-04-21 21:41 18K 
[   ]wbg-1.2.0-r1.apk2025-05-14 18:13 40K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 20:37 11K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 20:37 2.7K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 20:37 1.6K 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:28 381K 
[   ]webhookd-1.20.2-r1.apk2025-07-10 19:45 3.3M 
[   ]webhookd-doc-1.20.2-r1.apk2025-07-10 19:45 2.3K 
[   ]webhookd-openrc-1.20.2-r1.apk2025-07-10 19:45 2.2K 
[   ]webtunnel-0.0.2-r2.apk2025-07-10 19:45 3.7M 
[   ]welle-cli-2.7-r0.apk2025-04-07 18:04 313K 
[   ]welle-io-2.7-r0.apk2025-04-07 18:04 418K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 18:04 4.0K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:28 111K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:28 16K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:28 6.1M 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:28 1.6K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:28 3.1K 
[   ]wgcf-2.2.26-r1.apk2025-07-10 19:45 4.0M 
[   ]wgcf-bash-completion-2.2.26-r1.apk2025-07-10 19:45 6.1K 
[   ]wgcf-fish-completion-2.2.26-r1.apk2025-07-10 19:45 4.3K 
[   ]wgcf-zsh-completion-2.2.26-r1.apk2025-07-10 19:45 4.0K 
[   ]whipper-0.10.0-r5.apk2024-10-25 20:37 113K 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 20:37 185K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 20:37 1.8M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 20:37 4.6K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 20:37 22K 
[   ]witchery-0.0.3-r2.apk2024-10-25 20:37 3.2K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 20:37 3.4K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 20:37 2.9K 
[   ]wl-gammarelay-0.1.1-r15.apk2025-07-10 19:45 1.7M 
[   ]wl-ime-type-0.1.1-r0.apk2025-04-15 01:17 6.1K 
[   ]wl-ime-type-doc-0.1.1-r0.apk2025-04-15 01:17 2.2K 
[   ]wl-kbptr-0.3.0-r2.apk2025-07-07 07:09 26K 
[   ]wl-kbptr-doc-0.3.0-r2.apk2025-07-07 07:09 4.0K 
[   ]wl-screenrec-0.1.7-r0.apk2025-04-15 01:17 677K 
[   ]wl-screenrec-bash-completion-0.1.7-r0.apk2025-04-15 01:17 2.4K 
[   ]wl-screenrec-doc-0.1.7-r0.apk2025-04-15 01:17 9.5K 
[   ]wl-screenrec-fish-completion-0.1.7-r0.apk2025-04-15 01:17 3.2K 
[   ]wl-screenrec-zsh-completion-0.1.7-r0.apk2025-04-15 01:17 3.7K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 20:37 16K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 20:37 3.3K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 20:37 254K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 20:37 1.0M 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 20:37 61K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 20:37 308K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 20:37 1.2M 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 20:37 70K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 13:48 370K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 13:48 1.3M 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 13:48 71K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-23 09:30 399K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-23 09:30 1.5M 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-23 09:30 77K 
[   ]wmctrl-1.07-r1.apk2024-10-25 20:37 14K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 20:37 5.1K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 20:37 2.5M 
[   ]wol-0.7.1-r3.apk2024-10-25 20:37 27K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 20:37 5.5K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 20:37 8.2K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 20:37 139K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 20:37 176K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 20:37 10K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 20:37 2.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 20:37 40K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 20:37 8.2K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 20:37 1.2M 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 20:37 1.7K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 20:37 15K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 20:37 19K 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 20:37 3.7K 
[   ]wtfutil-0.43.0-r14.apk2025-07-10 19:46 20M 
[   ]x11docker-7.6.0-r1.apk2024-10-25 20:37 113K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 20:37 9.4K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 82K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]xcape-1.2-r1.apk2025-05-14 18:13 7.0K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 18:13 3.1K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 11:24 15K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 11:24 2.6K 
[   ]xdg-desktop-portal-hyprland-1.3.3-r0.apk2024-10-25 20:37 267K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk2024-10-25 20:37 2.4K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 20:37 70K 
[   ]xed-3.8.2-r0.apk2025-02-05 22:24 1.1M 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 22:24 14K 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 22:24 971K 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 22:24 2.1M 
[   ]xed-python-3.8.2-r0.apk2025-02-05 22:24 24K 
[   ]xemu-0.8.74-r0.apk2025-06-19 00:16 4.7M 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 20:37 38K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 20:37 5.1K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 20:37 92K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 20:37 2.5K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 20:37 59K 
[   ]xfce4-panel-profiles-1.1.1-r0.apk2025-07-06 09:15 58K 
[   ]xfce4-panel-profiles-doc-1.1.1-r0.apk2025-07-06 09:15 20K 
[   ]xfce4-panel-profiles-lang-1.1.1-r0.apk2025-07-06 09:15 53K 
[   ]xfd-1.1.4-r0.apk2024-10-25 20:37 14K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 20:37 4.9K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 20:37 341K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 20:37 2.5K 
[   ]xisxwayland-2-r1.apk2024-10-25 20:37 4.0K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 20:37 2.0K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 18:13 18K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 18:13 2.1K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 20:37 12K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 20:37 2.5K 
[   ]xload-1.1.4-r0.apk2024-10-25 20:37 7.0K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 20:37 3.2K 
[   ]xmag-1.0.8-r0.apk2024-10-25 20:37 18K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 20:37 4.7K 
[   ]xml2rfc-3.28.1-r0.apk2025-04-18 11:01 352K 
[   ]xml2rfc-pyc-3.28.1-r0.apk2025-04-18 11:01 407K 
[   ]xmp-4.2.0-r0.apk2024-10-25 20:37 24K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 20:37 5.3K 
[   ]xmpp-dns-0.2.4-r25.apk2025-07-10 19:46 1.9M 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 20:37 17K 
[   ]xone-src-0.3.1-r0.apk2025-07-10 19:46 52K 
[   ]xonsh-0.19.1-r0.apk2025-02-05 22:24 585K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 22:24 1.0M 
[   ]xosview-1.24-r0.apk2024-10-25 20:37 128K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 20:37 13K 
[   ]xsane-0.999-r2.apk2024-10-25 20:37 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-25 20:37 4.3K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 20:37 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 20:37 67K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 20:37 18K 
[   ]xsoldier-1.8-r2.apk2024-10-25 20:37 73K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 20:37 2.6K 
[   ]xtl-0.7.7-r0.apk2024-10-25 20:37 111K 
[   ]xva-img-1.5-r0.apk2024-10-25 20:37 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 20:37 17K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 20:37 4.2K 
[   ]xvile-9.8z_p1-r1.apk2025-06-30 07:04 888K 
[   ]xvkbd-4.1-r2.apk2024-10-25 20:37 298K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 20:37 11K 
[   ]xwayland-satellite-0.6-r0.apk2025-06-18 23:58 854K 
[   ]yaegi-0.16.1-r9.apk2025-07-10 19:46 7.5M 
[   ]yamkix-0.10.0-r1.apk2024-10-25 20:37 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 20:37 12K 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 22:08 1.0M 
[   ]yaru-common-23.10.0-r2.apk2025-03-19 13:14 4.5K 
[   ]yaru-icon-theme-23.10.0-r2.apk2025-03-19 13:14 35M 
[   ]yaru-icon-theme-bark-23.10.0-r2.apk2025-03-19 13:14 1.0M 
[   ]yaru-icon-theme-blue-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r2.apk2025-03-19 13:14 1.2M 
[   ]yaru-icon-theme-olive-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r2.apk2025-03-19 13:14 1.1M 
[   ]yaru-schemas-23.10.0-r2.apk2025-03-19 13:14 1.8K 
[   ]yaru-shell-23.10.0-r2.apk2025-03-19 13:14 735K 
[   ]yaru-sounds-23.10.0-r2.apk2025-03-19 13:14 676K 
[   ]yaru-theme-23.10.0-r2.apk2025-03-19 13:14 839K 
[   ]yaru-theme-bark-23.10.0-r2.apk2025-03-19 13:14 763K 
[   ]yaru-theme-blue-23.10.0-r2.apk2025-03-19 13:14 765K 
[   ]yaru-theme-hdpi-23.10.0-r2.apk2025-03-19 13:14 73K 
[   ]yaru-theme-magenta-23.10.0-r2.apk2025-03-19 13:14 761K 
[   ]yaru-theme-mate-23.10.0-r2.apk2025-03-19 13:14 727K 
[   ]yaru-theme-olive-23.10.0-r2.apk2025-03-19 13:14 759K 
[   ]yaru-theme-prussiangreen-23.10.0-r2.apk2025-03-19 13:14 760K 
[   ]yaru-theme-purple-23.10.0-r2.apk2025-03-19 13:14 756K 
[   ]yaru-theme-red-23.10.0-r2.apk2025-03-19 13:14 760K 
[   ]yaru-theme-sage-23.10.0-r2.apk2025-03-19 13:14 762K 
[   ]yaru-theme-viridian-23.10.0-r2.apk2025-03-19 13:14 760K 
[   ]yass-2.5.0-r0.apk2024-10-25 20:37 14M 
[   ]ydcv-0.7-r8.apk2024-10-25 20:37 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 20:37 11K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 20:37 2.2K 
[   ]yices2-2.6.5-r0.apk2025-03-03 00:04 2.8M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-03 00:04 41K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-03 00:04 1.0M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-19 13:14 6.1M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-19 13:14 4.5M 
[   ]youtube-tui-0.8.3-r0.apk2025-05-12 09:02 1.6M 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 41K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 172K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 18:13 50K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 18:13 2.3K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 18:13 78K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 18:13 2.1K 
[   ]ytt-0.52.0-r2.apk2025-07-10 19:46 4.6M 
[   ]yubikey-agent-0.1.6-r13.apk2025-07-10 19:46 1.9M 
[   ]z-1.12-r0.apk2024-10-25 20:37 4.6K 
[   ]z-doc-1.12-r0.apk2024-10-25 20:37 3.9K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 20:37 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 20:37 86K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 20:37 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 20:37 2.1K 
[   ]zaproxy-2.16.1-r0.apk2025-03-31 14:53 224M 
[   ]zarchive-0.1.2-r2.apk2024-10-25 20:37 16K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 20:37 6.8K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 20:37 26K 
[   ]zfs-src-2.3.3-r0.apk2025-06-21 14:04 33M 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 20:37 128K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 20:37 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 20:37 126K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 20:37 16K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 20:37 30K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 20:37 5.2K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-15 02:21 20K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-15 02:21 3.3K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-15 02:21 4.0K 
[   ]zizmor-1.10.0-r0.apk2025-06-28 17:37 3.4M 
[   ]zizmor-doc-1.10.0-r0.apk2025-06-28 17:37 2.3K 
[   ]zlevis-1.3-r0.apk2025-03-19 13:14 5.2K 
[   ]zot-2.1.2-r5.apk2025-07-10 19:46 71M 
[   ]zot-cli-2.1.2-r5.apk2025-07-10 19:46 10M 
[   ]zot-cli-bash-completion-2.1.2-r5.apk2025-07-10 19:46 5.1K 
[   ]zot-cli-fish-completion-2.1.2-r5.apk2025-07-10 19:46 4.3K 
[   ]zot-cli-zsh-completion-2.1.2-r5.apk2025-07-10 19:46 4.0K 
[   ]zot-doc-2.1.2-r5.apk2025-07-10 19:46 7.7K 
[   ]zot-exporter-2.1.2-r5.apk2025-07-10 19:46 5.0M 
[   ]zot-openrc-2.1.2-r5.apk2025-07-10 19:46 2.1K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 20:37 16K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 20:37 2.9K 
[   ]zutty-0.16-r0.apk2025-01-12 21:27 166K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:27 67K 
[   ]zvbi-0.2.44-r0.apk2025-03-19 13:14 191K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-19 13:14 21K 
[   ]alpine-lift-0.2.0-r24.apk2025-07-10 19:44 3.7MAlpine Linux
[   ]prometheus-ceph-exporter-4.2.5-r5.apk2025-07-10 19:45 3.6MCeph
[   ]prometheus-ceph-exporter-openrc-4.2.5-r5.apk2025-07-10 19:45 1.9KCeph
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 20:36 16KUbuntu Linux
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 20:36 2.3KUbuntu Linux